CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载

资源列表

排序选择:

« 1 2 ... .83 .84 .85 .86 .87 3588.89 .90 .91 .92 .93 ... 235843 »
  1. IECtrlBar

    0下载:
  2. 本程序是仿照WINDOWS的IE浏览器的界面设计的,功能比较简单,供大家参考-this program is a replica of the Windows browser interface design, function relatively simple, for your reference
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:42484
    • 提供者:咚咚
  1. 演示程序一维搜索

    0下载:
  2. 对二杆桁架静力分析,一维搜索的matlab演示程序。-of two truss static analysis, one-dimensional search Matlab demo program.
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:17681
    • 提供者:www
  1. COM应用

    0下载:
  2. 学习com的好材料,本代码是com原理与应用的附书代码,适合初学者学习,深入者参考-a good learning materials, the principle of the code is com attached with the application code book, suitable for beginners to learn, in-depth reference materials
  3. 所属分类:ActiveX/DCOM

    • 发布日期:2008-10-13
    • 文件大小:497948
    • 提供者:zy
  1. 传输2006

    0下载:
  2. 1.本实验要求利用微软的socket编程一段程序,可以利用TCP协议或者UDP协议,实现文件传输。-1. This experiment required the use of Microsoft's socket programming section of procedures, we can use TCP or UDP, transfer files.
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:31456
    • 提供者:刘杰
  1. chatroom123

    1下载:
  2. chatroom java自带的chatromm例子 只有一部分 附带了编译后的class文件-chatroom java onboard chatromm examples only incidental part of the compiled class of documents
  3. 所属分类:Telnet客户端

    • 发布日期:2008-10-13
    • 文件大小:3091
    • 提供者:liu
  1. els_game

    0下载:
  2. 俄罗斯方块游戏(SDK程序),功能比较简单,只有主要功能,没有声音和奖励等功能-Tetris games (SDK program) function is relatively simple, only the major functions of voiceless and reward functions
  3. 所属分类:棋牌游戏

    • 发布日期:2008-10-13
    • 文件大小:24573
    • 提供者:咚咚
  1. MSP430单片机C语言编程中的退出睡眠问题

    1下载:
  2. MSP430在进入睡眠后,如果发生事件产生中断,那么单 片机会退出睡眠,自动执行中断程序,但是当中断返回时,主 程序又重新进入睡眠状态。如果要在发生中断后,主程序需 要退出睡眠,那么需要在中断程序中修改SP堆栈中的内容, C语言没有指令可以修改堆栈内的内容,可以采用C语言与 汇编语言混合编程的方法解决此问题。-MSP430 into sleep, and if events have disrupted, SCM will withdraw from sleep, inter
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:39890
    • 提供者:lj
  1. des3

    0下载:
  2. 加密算法,DES的C源码. 是分组算法,虽然现在用的少了 但还是有学习意仪的-encryption algorithm, DES C source. Yes packet algorithm, although it is now used less but still learning Italian Instrument
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:10208
    • 提供者:李鑫
  1. 控件

    0下载:
  2. 就让我习惯背弃着清晨, 就让我等待来临的黄昏, 相信只有手中的那根烟, 还能体会我颤抖的双唇 怕就怕在我的心急如焚, 怕就怕缘分尽了我还在奔, 爱火熄灭就别再有余温, 我不想在回忆里的生存. 如果爱不满分你就让我伤神, 我想我是真的爱错你的人, 只是付出了真却换回伤的深, 我祈求下辈子我不要做男人-let me abandon a habit of early morning, let me wait for the arrival of
  3. 所属分类:TreeView控件

    • 发布日期:2008-10-13
    • 文件大小:2318
    • 提供者:李学
  1. um_s3c2440a_rev10

    0下载:
  2. SAMSUNG的2440使用手册,最高频率400MHz,市场已有售-Samsung's 2440 manual, the maximum frequency of 400MHz, the market has been on sale
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:2202480
    • 提供者:evan
  1. ispLEVER培训教程

    0下载:
  2. ispLEVER是LATTICE的CPLD、FPGA继承开发环境-ispLEVER CPLD, FPGA development environment succession
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1048005
    • 提供者:evan
  1. CH7005(PC转AV芯片)

    0下载:
  2. 推荐一个lcd输出转为crt信号,直接驱动显示器的芯片-recommend a CRT to LCD output signal directly to the display driver chip
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:322676
    • 提供者:evan
« 1 2 ... .83 .84 .85 .86 .87 3588.89 .90 .91 .92 .93 ... 235843 »
搜珍网 www.dssz.com