CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 单片机(51,AVR,MSP430等)

文件名称:C8051F320

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    14.72mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

C8051F320 程序源码:流水灯,数码管,蜂鸣器,USB,串口-C8051F320 program source: water lights, LED, buzzer, USB, serial port
(系统自动生成,下载前可以参看下载内容)

下载文件列表

实验源码/YH4-SD_READ_WRITE.rar
实验源码/YH4-SD_READ_WRITE/APPs/c8051F320.h
实验源码/YH4-SD_READ_WRITE/APPs/main.c
实验源码/YH4-SD_READ_WRITE/APPs/main.h
实验源码/YH4-SD_READ_WRITE/APPs/MyTypeDef.h
实验源码/YH4-SD_READ_WRITE/APPs/STARTUP.A51
实验源码/YH4-SD_READ_WRITE/Project/C8051F_MSC.plg
实验源码/YH4-SD_READ_WRITE/Project/C8051F_MSC_Uv2.Bak
实验源码/YH4-SD_READ_WRITE/Project/C8051F_MSC.Uv2
实验源码/YH4-SD_READ_WRITE/Project/C8051F_MSC_Opt.Bak
实验源码/YH4-SD_READ_WRITE/Project/C8051F_MSC.Opt
实验源码/YH4-SD_READ_WRITE/Project/List/C8051F_MSC.m51
实验源码/YH4-SD_READ_WRITE/Project/List/C8051F_SMbus.i
实验源码/YH4-SD_READ_WRITE/Project/List/C8051F_SMbus.lst
实验源码/YH4-SD_READ_WRITE/Project/List/eeprom.i
实验源码/YH4-SD_READ_WRITE/Project/List/eeprom.lst
实验源码/YH4-SD_READ_WRITE/Project/List/main.i
实验源码/YH4-SD_READ_WRITE/Project/List/msc.i
实验源码/YH4-SD_READ_WRITE/Project/List/sdcmd.i
实验源码/YH4-SD_READ_WRITE/Project/List/sdcrc.i
实验源码/YH4-SD_READ_WRITE/Project/List/sddriver.i
实验源码/YH4-SD_READ_WRITE/Project/List/STARTUP.lst
实验源码/YH4-SD_READ_WRITE/Project/List/USB.i
实验源码/YH4-SD_READ_WRITE/Project/List/USB_Descriptor.i
实验源码/YH4-SD_READ_WRITE/Project/List/USB_HID_Descriptor.i
实验源码/YH4-SD_READ_WRITE/Project/List/USB_HID_Descriptor.lst
实验源码/YH4-SD_READ_WRITE/Project/List/USB_Descriptor.lst
实验源码/YH4-SD_READ_WRITE/Project/List/msc.lst
实验源码/YH4-SD_READ_WRITE/Project/List/USB.lst
实验源码/YH4-SD_READ_WRITE/Project/List/sdcmd.lst
实验源码/YH4-SD_READ_WRITE/Project/List/sdcrc.lst
实验源码/YH4-SD_READ_WRITE/Project/List/sddriver.lst
实验源码/YH4-SD_READ_WRITE/Project/List/main.lst
实验源码/YH4-SD_READ_WRITE/Project/Output/C8051F_MSC.hex
实验源码/YH4-SD_READ_WRITE/Project/Output/C8051F_MSC.lnp
实验源码/YH4-SD_READ_WRITE/Project/Output/C8051F_MSC.plg
实验源码/YH4-SD_READ_WRITE/Project/Output/C8051F_SMbus.obj
实验源码/YH4-SD_READ_WRITE/Project/Output/C8051F_SMbus.__i
实验源码/YH4-SD_READ_WRITE/Project/Output/eeprom.obj
实验源码/YH4-SD_READ_WRITE/Project/Output/eeprom.__i
实验源码/YH4-SD_READ_WRITE/Project/Output/ExtDll.iex
实验源码/YH4-SD_READ_WRITE/Project/Output/msc.__i
实验源码/YH4-SD_READ_WRITE/Project/Output/USB.__i
实验源码/YH4-SD_READ_WRITE/Project/Output/USB_HID_Descriptor.obj
实验源码/YH4-SD_READ_WRITE/Project/Output/USB_HID_Descriptor.__i
实验源码/YH4-SD_READ_WRITE/Project/Output/USB_Descriptor.__i
实验源码/YH4-SD_READ_WRITE/Project/Output/USB_Descriptor.obj
实验源码/YH4-SD_READ_WRITE/Project/Output/msc.obj
实验源码/YH4-SD_READ_WRITE/Project/Output/USB.obj
实验源码/YH4-SD_READ_WRITE/Project/Output/STARTUP.obj
实验源码/YH4-SD_READ_WRITE/Project/Output/sdcmd.__i
实验源码/YH4-SD_READ_WRITE/Project/Output/sdcrc.__i
实验源码/YH4-SD_READ_WRITE/Project/Output/sddriver.__i
实验源码/YH4-SD_READ_WRITE/Project/Output/sdcmd.obj
实验源码/YH4-SD_READ_WRITE/Project/Output/sdcrc.obj
实验源码/YH4-SD_READ_WRITE/Project/Output/sddriver.obj
实验源码/YH4-SD_READ_WRITE/Project/Output/main.obj
实验源码/YH4-SD_READ_WRITE/Project/Output/C8051F_MSC
实验源码/YH4-SD_READ_WRITE/SD_Card/define.h
实验源码/YH4-SD_READ_WRITE/SD_Card/sdconfig.h
实验源码/YH4-SD_READ_WRITE/SD_Card/SD/sdcmd.c
实验源码/YH4-SD_READ_WRITE/SD_Card/SD/sdcmd.h
实验源码/YH4-SD_READ_WRITE/SD_Card/SD/sdcrc.c
实验源码/YH4-SD_READ_WRITE/SD_Card/SD/sdcrc.h
实验源码/YH4-SD_READ_WRITE/SD_Card/SD/sddriver.c
实验源码/YH4-SD_READ_WRITE/SD_Card/SD/sddriver.h
实验源码/USB_Control_VC/USB_Control.opt
实验源码/USB_Control_VC/USB_Control.odl
实验源码/USB_Control_VC/Resource.h
实验源码/USB_Control_VC/USB_Control.aps
实验源码/USB_Control_VC/USB_Control.rc
实验源码/USB_Control_VC/USB_Control.clw
实验源码/USB_Control_VC/ReadMe.txt
实验源码/USB_Control_VC/USB_Control.h
实验源码/USB_Control_VC/USB_Control.cpp
实验源码/USB_Control_VC/USB_ControlDlg.h
实验源码/USB_Control_VC/USB_ControlDlg.cpp
实验源码/USB_Control_VC/DlgProxy.h
实验源码/USB_Control_VC/DlgProxy.cpp
实验源码/USB_Control_VC/StdAfx.h
实验源码/USB_Control_VC/StdAfx.cpp
实验源码/USB_Control_VC/USB_Control.reg
实验源码/USB_Control_VC/USB_Control.dsw
实验源码/USB_Control_VC/USB_Control.ncb
实验源码/USB_Control_VC/USB_Control.plg
实验源码/USB_Control_VC/hid.lib
实验源码/USB_Control_VC/HIDClass.cpp
实验源码/USB_Control_VC/HIDClass.h
实验源码/USB_Control_VC/hidpi.h
实验源码/USB_Control_VC/hidsdi.h
实验源码/USB_Control_VC/hidusage.h
实验源码/USB_Control_VC/setupapi.lib
实验源码/USB_Control_VC/USB_Control.dsp
实验源码/USB_Control_VC/hid.dll
实验源码/USB_Control_VC/Debug/USB_Control.tlb
实验源码/USB_Control_VC/Debug/USB_Control.res
实验源码/USB_Control_VC/Debug/vc60.idb
实验源码/USB_Control_VC/Debug/USB_Control.pch
实验源码/USB_Control_VC/Debug/vc60.pdb
实验源码/USB_Control_VC/Debug/USB_Control.exe
实验源码/USB_Control_VC/Debug/USB_Control.pdb
实验源码/USB_Control_VC/Debug/USB_ControlDlg.sbr
实验源码/USB_Control_VC/Debug/StdAfx.sbr
实验源码/USB_Control_VC/Debug/StdAfx.obj
实验源码/USB_Control_VC/Debug/DlgProxy.sbr
实验源码/USB_Control_VC/Debug/HIDClass.sbr
实验源码/USB_Control_VC/Debug/USB_Control.sbr
实验源码/USB_Control_VC/Debug/USB_Control.obj
实验源码/USB_Control_VC/Debug/HIDClass.obj
实验源码/USB_Control_VC/Debug/DlgProxy.obj
实验源码/USB_Control_VC/Debug/USB_Control.bsc
实验源码/USB_Control_VC/Debug/USB_Control.ilk
实验源码/USB_Control_VC/Debug/USB_ControlDlg.obj.enc
实验源码/USB_Control_VC/Debug/USB_ControlDlg.obj
实验源码/USB_Control_VC/res/USB_Control.rc2
实验源码/USB_Control_VC/res/USB_Control.ico
实验源码/USB_Control_VC/res/Thumbs.db
实验源码/USB_Control_MCU/APPs/C51L.LIB
实验源码/USB_Control_MCU/APPs/c8051F320.h
实验源码/USB_Control_MCU/APPs/main.c
实验源码/USB_Control_MCU/APPs

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com