CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:clock_lcd

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2013-03-23
  • 文件大小:
    6.77mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

基于FPGA用verilog实现电子时钟功能,适合初学verilog者-Suitable for beginners verilog verilog achieve FPGA-based electronic clock function
(系统自动生成,下载前可以参看下载内容)

下载文件列表

clock_lcd/clock_lcd.asm.rpt
clock_lcd/clock_lcd.cdf
clock_lcd/clock_lcd.done
clock_lcd/clock_lcd.eda.rpt
clock_lcd/clock_lcd.fit.rpt
clock_lcd/clock_lcd.fit.smsg
clock_lcd/clock_lcd.fit.summary
clock_lcd/clock_lcd.flow.rpt
clock_lcd/clock_lcd.jdi
clock_lcd/clock_lcd.map.rpt
clock_lcd/clock_lcd.map.smsg
clock_lcd/clock_lcd.map.summary
clock_lcd/clock_lcd.pin
clock_lcd/clock_lcd.qpf
clock_lcd/clock_lcd.qsf
clock_lcd/clock_lcd.qws
clock_lcd/clock_lcd.sof
clock_lcd/clock_lcd.sta.rpt
clock_lcd/clock_lcd.sta.summary
clock_lcd/clock_lcd.tis_db_list.ddb
clock_lcd/clock_lcd.v
clock_lcd/clock_lcd.v.bak
clock_lcd/clock_lcd_assignment_defaults.qdf
clock_lcd/Counter.v
clock_lcd/db/altsyncram_1bp3.tdf
clock_lcd/db/altsyncram_1s01.tdf
clock_lcd/db/altsyncram_5024.tdf
clock_lcd/db/altsyncram_knu.tdf
clock_lcd/db/alt_synch_pipe_36d.tdf
clock_lcd/db/alt_synch_pipe_46d.tdf
clock_lcd/db/alt_synch_pipe_8u7.tdf
clock_lcd/db/alt_synch_pipe_9u7.tdf
clock_lcd/db/a_graycounter_d4c.tdf
clock_lcd/db/a_graycounter_e4c.tdf
clock_lcd/db/a_graycounter_im6.tdf
clock_lcd/db/clock_lcd.(0).cnf.cdb
clock_lcd/db/clock_lcd.(0).cnf.hdb
clock_lcd/db/clock_lcd.(1).cnf.cdb
clock_lcd/db/clock_lcd.(1).cnf.hdb
clock_lcd/db/clock_lcd.(10).cnf.cdb
clock_lcd/db/clock_lcd.(10).cnf.hdb
clock_lcd/db/clock_lcd.(11).cnf.cdb
clock_lcd/db/clock_lcd.(11).cnf.hdb
clock_lcd/db/clock_lcd.(12).cnf.cdb
clock_lcd/db/clock_lcd.(12).cnf.hdb
clock_lcd/db/clock_lcd.(13).cnf.cdb
clock_lcd/db/clock_lcd.(13).cnf.hdb
clock_lcd/db/clock_lcd.(14).cnf.cdb
clock_lcd/db/clock_lcd.(14).cnf.hdb
clock_lcd/db/clock_lcd.(15).cnf.cdb
clock_lcd/db/clock_lcd.(15).cnf.hdb
clock_lcd/db/clock_lcd.(16).cnf.cdb
clock_lcd/db/clock_lcd.(16).cnf.hdb
clock_lcd/db/clock_lcd.(17).cnf.cdb
clock_lcd/db/clock_lcd.(17).cnf.hdb
clock_lcd/db/clock_lcd.(18).cnf.cdb
clock_lcd/db/clock_lcd.(18).cnf.hdb
clock_lcd/db/clock_lcd.(19).cnf.cdb
clock_lcd/db/clock_lcd.(19).cnf.hdb
clock_lcd/db/clock_lcd.(2).cnf.cdb
clock_lcd/db/clock_lcd.(2).cnf.hdb
clock_lcd/db/clock_lcd.(20).cnf.cdb
clock_lcd/db/clock_lcd.(20).cnf.hdb
clock_lcd/db/clock_lcd.(21).cnf.cdb
clock_lcd/db/clock_lcd.(21).cnf.hdb
clock_lcd/db/clock_lcd.(22).cnf.cdb
clock_lcd/db/clock_lcd.(22).cnf.hdb
clock_lcd/db/clock_lcd.(23).cnf.cdb
clock_lcd/db/clock_lcd.(23).cnf.hdb
clock_lcd/db/clock_lcd.(24).cnf.cdb
clock_lcd/db/clock_lcd.(24).cnf.hdb
clock_lcd/db/clock_lcd.(25).cnf.cdb
clock_lcd/db/clock_lcd.(25).cnf.hdb
clock_lcd/db/clock_lcd.(26).cnf.cdb
clock_lcd/db/clock_lcd.(26).cnf.hdb
clock_lcd/db/clock_lcd.(27).cnf.cdb
clock_lcd/db/clock_lcd.(27).cnf.hdb
clock_lcd/db/clock_lcd.(28).cnf.cdb
clock_lcd/db/clock_lcd.(28).cnf.hdb
clock_lcd/db/clock_lcd.(29).cnf.cdb
clock_lcd/db/clock_lcd.(29).cnf.hdb
clock_lcd/db/clock_lcd.(3).cnf.cdb
clock_lcd/db/clock_lcd.(3).cnf.hdb
clock_lcd/db/clock_lcd.(30).cnf.cdb
clock_lcd/db/clock_lcd.(30).cnf.hdb
clock_lcd/db/clock_lcd.(31).cnf.cdb
clock_lcd/db/clock_lcd.(31).cnf.hdb
clock_lcd/db/clock_lcd.(32).cnf.cdb
clock_lcd/db/clock_lcd.(32).cnf.hdb
clock_lcd/db/clock_lcd.(33).cnf.cdb
clock_lcd/db/clock_lcd.(33).cnf.hdb
clock_lcd/db/clock_lcd.(34).cnf.cdb
clock_lcd/db/clock_lcd.(34).cnf.hdb
clock_lcd/db/clock_lcd.(35).cnf.cdb
clock_lcd/db/clock_lcd.(35).cnf.hdb
clock_lcd/db/clock_lcd.(36).cnf.cdb
clock_lcd/db/clock_lcd.(36).cnf.hdb
clock_lcd/db/clock_lcd.(37).cnf.cdb
clock_lcd/db/clock_lcd.(37).cnf.hdb
clock_lcd/db/clock_lcd.(38).cnf.cdb
clock_lcd/db/clock_lcd.(38).cnf.hdb
clock_lcd/db/clock_lcd.(39).cnf.cdb
clock_lcd/db/clock_lcd.(39).cnf.hdb
clock_lcd/db/clock_lcd.(4).cnf.cdb
clock_lcd/db/clock_lcd.(4).cnf.hdb
clock_lcd/db/clock_lcd.(40).cnf.cdb
clock_lcd/db/clock_lcd.(40).cnf.hdb
clock_lcd/db/clock_lcd.(41).cnf.cdb
clock_lcd/db/clock_lcd.(41).cnf.hdb
clock_lcd/db/clock_lcd.(42).cnf.cdb
clock_lcd/db/clock_lcd.(42).cnf.hdb
clock_lcd/db/clock_lcd.(43).cnf.cdb
clock_lcd/db/clock_lcd.(43).cnf.hdb
clock_lcd/db/clock_lcd.(44).cnf.cdb
clock_lcd/db/clock_lcd.(44).cnf.hdb
clock_lcd/db/clock_lcd.(45).cnf.cdb
clock_lcd/db/clock_lcd.(45).cnf.hdb
clock_lcd/db/clock_lcd.(46).cnf.cdb
clock_lcd/db/clock_lcd.(46).cnf.hdb
clock_lcd/db/clock_lcd.(47).cnf.cdb
clock_lcd/db/clock_lcd.(47).cnf.hdb
clock_lcd/db/clock_lcd.(48).cnf.cdb
clock_lcd/db/clock_lcd.(48).cnf.hdb
clock_lcd/db/clock_lcd.(49).cnf.cdb
clock_lcd/db/clock_lcd.(49).cnf.hdb
clock_lcd/db/clock_lcd.(5).cnf.cdb
clock_lcd/db/clock_lcd.(5).cnf.hdb
clock_lcd/db/clock_lcd.(50).cnf.cdb
clock_lcd/db/clock_lcd.(50).cnf.hdb
clock_lcd/db/clock_lcd.(51).cnf.cdb
clock_lcd/db/clock_lcd.(51).cnf.hdb
clock_lcd/db/clock_lcd.(52).cnf.cdb
clock_lcd/db/clock_lcd.(52).cnf.hdb
clock_lcd/db/clock_lcd.(53).cnf.cdb
clock_lcd/db/clock_lcd.(53).cnf.hdb
clock_lcd/db/clock_lcd.(54).cnf.cdb
clock_lcd/db/clock_lcd.(54).cnf.hdb
clock_lcd/db/clock_lcd.(55).cnf.cdb
clock_lcd/db/clock_lcd.(55).cnf.hdb
clock_lcd/db/clock_lcd.(56).cnf.cdb
clock_lcd/db/clock_lcd.(56).cnf.hdb
clock_lcd/db/clock_lcd.(6).cnf.cdb
clock_lcd/db/clock_lcd.(6).cnf.hdb
clock_lcd/db/clock_lcd.(7).cnf.cdb
clock_lcd/db/clock_lcd.(7).cnf.hdb
clock_lcd/db/clock_lcd.(8).cnf.cdb
clock_lcd/db/clock_lcd.(8).cnf.hdb
clock_lcd/db/clock_lcd.(9).cnf.cdb
clock_lcd/db/clock_lcd.(9).

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com