CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:FirFilterChol

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2017-02-22
  • 文件大小:
    11.63mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

在FPGA利用vhdl实现了32阶FIR滤波器。已经我利用了在几个对象。-In FPGA using VHDL to achieve a 32 order FIR filter. I ve used in many objects.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

FirFilterChol/cal.m
FirFilterChol/db/add_sub_3jh.tdf
FirFilterChol/db/add_sub_4jh.tdf
FirFilterChol/db/add_sub_5jh.tdf
FirFilterChol/db/add_sub_6jh.tdf
FirFilterChol/db/add_sub_88h.tdf
FirFilterChol/db/add_sub_e8h.tdf
FirFilterChol/db/add_sub_f8h.tdf
FirFilterChol/db/add_sub_g8h.tdf
FirFilterChol/db/FirFilterChol.(0).cnf.cdb
FirFilterChol/db/FirFilterChol.(0).cnf.hdb
FirFilterChol/db/FirFilterChol.(1).cnf.cdb
FirFilterChol/db/FirFilterChol.(1).cnf.hdb
FirFilterChol/db/FirFilterChol.(10).cnf.cdb
FirFilterChol/db/FirFilterChol.(10).cnf.hdb
FirFilterChol/db/FirFilterChol.(11).cnf.cdb
FirFilterChol/db/FirFilterChol.(11).cnf.hdb
FirFilterChol/db/FirFilterChol.(12).cnf.cdb
FirFilterChol/db/FirFilterChol.(12).cnf.hdb
FirFilterChol/db/FirFilterChol.(13).cnf.cdb
FirFilterChol/db/FirFilterChol.(13).cnf.hdb
FirFilterChol/db/FirFilterChol.(14).cnf.cdb
FirFilterChol/db/FirFilterChol.(14).cnf.hdb
FirFilterChol/db/FirFilterChol.(15).cnf.cdb
FirFilterChol/db/FirFilterChol.(15).cnf.hdb
FirFilterChol/db/FirFilterChol.(16).cnf.cdb
FirFilterChol/db/FirFilterChol.(16).cnf.hdb
FirFilterChol/db/FirFilterChol.(17).cnf.cdb
FirFilterChol/db/FirFilterChol.(17).cnf.hdb
FirFilterChol/db/FirFilterChol.(18).cnf.cdb
FirFilterChol/db/FirFilterChol.(18).cnf.hdb
FirFilterChol/db/FirFilterChol.(19).cnf.cdb
FirFilterChol/db/FirFilterChol.(19).cnf.hdb
FirFilterChol/db/FirFilterChol.(2).cnf.cdb
FirFilterChol/db/FirFilterChol.(2).cnf.hdb
FirFilterChol/db/FirFilterChol.(20).cnf.cdb
FirFilterChol/db/FirFilterChol.(20).cnf.hdb
FirFilterChol/db/FirFilterChol.(21).cnf.cdb
FirFilterChol/db/FirFilterChol.(21).cnf.hdb
FirFilterChol/db/FirFilterChol.(22).cnf.cdb
FirFilterChol/db/FirFilterChol.(22).cnf.hdb
FirFilterChol/db/FirFilterChol.(23).cnf.cdb
FirFilterChol/db/FirFilterChol.(23).cnf.hdb
FirFilterChol/db/FirFilterChol.(24).cnf.cdb
FirFilterChol/db/FirFilterChol.(24).cnf.hdb
FirFilterChol/db/FirFilterChol.(25).cnf.cdb
FirFilterChol/db/FirFilterChol.(25).cnf.hdb
FirFilterChol/db/FirFilterChol.(26).cnf.cdb
FirFilterChol/db/FirFilterChol.(26).cnf.hdb
FirFilterChol/db/FirFilterChol.(27).cnf.cdb
FirFilterChol/db/FirFilterChol.(27).cnf.hdb
FirFilterChol/db/FirFilterChol.(28).cnf.cdb
FirFilterChol/db/FirFilterChol.(28).cnf.hdb
FirFilterChol/db/FirFilterChol.(29).cnf.cdb
FirFilterChol/db/FirFilterChol.(29).cnf.hdb
FirFilterChol/db/FirFilterChol.(3).cnf.cdb
FirFilterChol/db/FirFilterChol.(3).cnf.hdb
FirFilterChol/db/FirFilterChol.(30).cnf.cdb
FirFilterChol/db/FirFilterChol.(30).cnf.hdb
FirFilterChol/db/FirFilterChol.(31).cnf.cdb
FirFilterChol/db/FirFilterChol.(31).cnf.hdb
FirFilterChol/db/FirFilterChol.(32).cnf.cdb
FirFilterChol/db/FirFilterChol.(32).cnf.hdb
FirFilterChol/db/FirFilterChol.(33).cnf.cdb
FirFilterChol/db/FirFilterChol.(33).cnf.hdb
FirFilterChol/db/FirFilterChol.(34).cnf.cdb
FirFilterChol/db/FirFilterChol.(34).cnf.hdb
FirFilterChol/db/FirFilterChol.(35).cnf.cdb
FirFilterChol/db/FirFilterChol.(35).cnf.hdb
FirFilterChol/db/FirFilterChol.(36).cnf.cdb
FirFilterChol/db/FirFilterChol.(36).cnf.hdb
FirFilterChol/db/FirFilterChol.(37).cnf.cdb
FirFilterChol/db/FirFilterChol.(37).cnf.hdb
FirFilterChol/db/FirFilterChol.(38).cnf.cdb
FirFilterChol/db/FirFilterChol.(38).cnf.hdb
FirFilterChol/db/FirFilterChol.(39).cnf.cdb
FirFilterChol/db/FirFilterChol.(39).cnf.hdb
FirFilterChol/db/FirFilterChol.(4).cnf.cdb
FirFilterChol/db/FirFilterChol.(4).cnf.hdb
FirFilterChol/db/FirFilterChol.(40).cnf.cdb
FirFilterChol/db/FirFilterChol.(40).cnf.hdb
FirFilterChol/db/FirFilterChol.(41).cnf.cdb
FirFilterChol/db/FirFilterChol.(41).cnf.hdb
FirFilterChol/db/FirFilterChol.(42).cnf.cdb
FirFilterChol/db/FirFilterChol.(42).cnf.hdb
FirFilterChol/db/FirFilterChol.(43).cnf.cdb
FirFilterChol/db/FirFilterChol.(43).cnf.hdb
FirFilterChol/db/FirFilterChol.(44).cnf.cdb
FirFilterChol/db/FirFilterChol.(44).cnf.hdb
FirFilterChol/db/FirFilterChol.(45).cnf.cdb
FirFilterChol/db/FirFilterChol.(45).cnf.hdb
FirFilterChol/db/FirFilterChol.(46).cnf.cdb
FirFilterChol/db/FirFilterChol.(46).cnf.hdb
FirFilterChol/db/FirFilterChol.(47).cnf.cdb
FirFilterChol/db/FirFilterChol.(47).cnf.hdb
FirFilterChol/db/FirFilterChol.(48).cnf.cdb
FirFilterChol/db/FirFilterChol.(48).cnf.hdb
FirFilterChol/db/FirFilterChol.(5).cnf.cdb
FirFilterChol/db/FirFilterChol.(5).cnf.hdb
FirFilterChol/db/FirFilterChol.(6).cnf.cdb
FirFilterChol/db/FirFilterChol.(6).cnf.hdb
FirFilterChol/db/FirFilterChol.(7).cnf.cdb
FirFilterChol/db/FirFilterChol.(7).cnf.hdb
FirFilterChol/db/FirFilterChol.(8).cnf.cdb
FirFilterChol/db/FirFilterChol.(8).cnf.hdb
FirFilterChol/db/FirFilterChol.(9).cnf.cdb
FirFilterChol/db/FirFilterChol.(9).cnf.hdb
FirFilterChol/db/FirFilterChol.asm.qmsg
FirFilterChol/db/FirFilterChol.asm_labs.ddb
FirFilterChol/db/FirFilterChol.cbx.xml
FirFilterChol/db/FirFilterChol.cmp.bpm
FirFilterChol/db/FirFilterChol.cmp.cdb
FirFilterChol/db/FirFilterChol.cmp.ecobp
FirFilterChol/db/FirFilterChol.cmp.hdb
FirFilterChol/db/FirFilterChol.cmp.kpt
FirFilterChol/db/FirFilterChol.cmp.logdb
FirFilterChol/db/FirFilterChol.cmp.rdb
FirFilterChol/db/FirFilterChol.cmp_merge.kpt
FirFilterChol/db/FirFilterChol.cuda_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
FirFilterChol/db/FirFil

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com