CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 三角波

搜索资源列表

  1. bxfsq

    0下载:
  2. 波形发生器的代码,具有产生正弦波、方波、三角波的功能。-Waveform Generator code has generated sine wave, square, triangle-wave function.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:16831
    • 提供者:李仁刚
  1. Triangle

    0下载:
  2. 非常好的三角波的发生器,可以设置频率等参数-Very nice triangular wave generator, can set the frequency parameter
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:7583
    • 提供者:王强
  1. triang

    1下载:
  2. 三角波生成程序,用于生成初始信号对系统进行模拟。-Triangle-wave generation process, used to generate the initial signal of the system simulation.
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:516
    • 提供者:少校
  1. DA

    0下载:
  2. TMS320LF2407键盘与DA转换综合控制源码 键盘0,1,2,3分别使得DA转换产生方波,三角波,正弦波,锯齿波。-TMS320LF2407 keyboard with integrated control DA converters 0,1,2,3-source keyboard makes DA conversion separately generated square wave, triangle wave, sine wave, sawtooth wave.
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:6222
    • 提供者:baoyu
  1. Multiwaveform

    0下载:
  2. DA转换 多波形发生器 方波 三角波 锯齿波-Waveform Generator Multi-waveform
  3. 所属分类:Audio program

    • 发布日期:2017-04-24
    • 文件大小:180871
    • 提供者:流星雨
  1. HuaTu

    0下载:
  2. 基于定时器的实时曲线的绘制,动态产生三角波-Timer-based real-time Curve, dynamically generated triangular wave
  3. 所属分类:Graph Drawing

    • 发布日期:2017-05-16
    • 文件大小:3660688
    • 提供者:zzw
  1. WJKS

    0下载:
  2. 该程序实现了微机控制的可以产生正弦波、三角波、方波,并可以使用键盘对其操作-The program can be controlled by a computer generated sine wave, triangle wave, square wave, and can use the keyboard to its operation
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1342
    • 提供者:gmaj
  1. sanjiaobo_gaoping

    0下载:
  2. 基于MATLAB的三角波的高频触发信号,不是自带模块 -MATLAB-based high-frequency triangle-wave trigger signal, rather than bring their own module
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:10908
    • 提供者:章琪超
  1. Virtual_Oscilloscope

    0下载:
  2. 采用MFC实现的虚拟示波器界面,类似于常见的数字示波器显示面板; 可显示方波、正弦波及三角波三种波形不同参数的信号波形 对于方波可设置方波周期、占空比、幅度等参数; 对于正弦波可设置频率、幅度、相位参数; 对于三角波可设置周期、幅度参数; 三种波形可以同时存在,且所有波形均会随时间动态移动-MFC using the achievement of the virtual oscilloscope interface, similar to common digital osc
  3. 所属分类:Other systems

    • 发布日期:2017-03-25
    • 文件大小:53914
    • 提供者:linlookfor
  1. FPGAboxin

    0下载:
  2. FPGA实现波形产生模块能产生正弦,方波,锯齿,三角波的产生,频率可调-fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:257647
    • 提供者:李可
  1. 0832xinhaofanshengqi

    0下载:
  2. 用单片机编程输出方波 三角波 正弦波 包括DAC-MCU programming the output sine wave square wave triangle wave, including DAC
  3. 所属分类:SCM

    • 发布日期:2017-03-22
    • 文件大小:137170
    • 提供者:tangzong
  1. DAC0832

    0下载:
  2. 利用DAC0832产生三角波,程序如下: 本程序中产生的电压的最大幅度受DAC0832的基准电压限制 产生的的三角波周期受累加器A的控制,可以通过改变每次加的数值来改变周期,但连续性绘变差 也可以改变延时时间来实现-DAC0832 generated using the triangular wave, as follows: This procedure generated by the voltage of the most significant restriction
  3. 所属分类:assembly language

    • 发布日期:2017-03-22
    • 文件大小:32599
    • 提供者:desmond
  1. DAC0832

    0下载:
  2. 设计一个函数信号发生器,具体指标如下: 1 采用AT89S51及DAC0832设计函数信号发生器; 2 输出函数信号为正弦波或三角波或阶梯波; 3 输出信号频率为100Hz,幅度0-10V可调; 4 必须具有信号输出及外接电源、公共地线接口 -Design a function signal generator, the specific indicators as follows: 1 using AT89S51 and design function signal ge
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:593071
    • 提供者:郭晨
  1. vhld_fpga_box

    0下载:
  2. Verilog 编写的波形发生器,可发生正弦波,三角波,方波,可以调频-Prepared Verilog waveform generator, can occur sine, triangle wave, square wave, you can FM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:267223
    • 提供者:ivan
  1. chenxu

    0下载:
  2. 各种波形产生器,包括方波,三角波,锯齿波,正弦波,且可调频调幅 -A variety of waveform generator, including square, triangle wave, sawtooth, sine, and can be FM-AM
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:4244
    • 提供者:hulei198391
  1. SineDraw

    0下载:
  2. 画出了正弦波形 锯齿波 三角波 实现正弦波的频率 幅值的自动和手动调节 不足之处和大家共同探讨 共同进步-look chinese word!
  3. 所属分类:Graph Drawing

    • 发布日期:2017-05-07
    • 文件大小:1976655
    • 提供者:冯延强
  1. boxing

    0下载:
  2. 单片机,常见波形程序,方波,正弦波,三角波,锯齿波-单片机,常见波形程序
  3. 所属分类:File Formats

    • 发布日期:2017-04-14
    • 文件大小:2634
    • 提供者:zym
  1. DDS

    0下载:
  2. 这是一个dds产生方波、三角波、正弦波的代码。请用Quartus II打开-This is a dds produce square wave, triangle wave, sine wave code. Please open the Quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:810405
    • 提供者:kydchen
  1. AD9854

    1下载:
  2. dds AD9854波形发生三角波 方波正弦波-AD9854 waveform DDS happened sine wave wave square wave triangle
  3. 所属分类:SCM

    • 发布日期:2016-07-23
    • 文件大小:50176
    • 提供者:
  1. sanjiao

    0下载:
  2. 使用VHDL编写三角波输出,其中DA使用的是DAC902,一个12位的DA转换芯片(Use VHDL to write triangle wave output, including DA using DAC902, a 12 DA conversion chip)
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:285696
    • 提供者:gemini48
« 1 23 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com