CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 三角波

搜索资源列表

  1. triangle

    0下载:
  2. 波形的合成与分解。 用matlab编的。可以合成三角波,方波,并分析它们的频谱。有详细的说明。-Synthesis and degradation of the waveform. Using matlab. Can be synthesized triangle wave, square wave, and analyze their spectrum. There are detailed instructions.
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:1284
    • 提供者:聂波
  1. boxingfashengqi

    0下载:
  2. 能产生方波和三角波的信号发生器,以时钟信号为基准,输出时可以选择一种信号输出。-Can produce a square wave and triangular wave signal generator to the reference clock signal, a signal output can be selected output.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:757
    • 提供者:lyp
  1. s

    0下载:
  2. 用硬件语言书写的三角波函数,用于FPGA调试-Triangle wave
  3. 所属分类:software engineering

    • 发布日期:2017-05-04
    • 文件大小:1362084
    • 提供者:cfh
  1. EX2

    1下载:
  2. 编写一个函数发生器,要求 : 能够通过用户界面选择产生正弦波、三角波、锯齿波、方波等函数波形 用曲线图控件绘制用户选择的波形。 -Write a function generator requirements: Can be selected via the user interface to generate sine, triangle, sawtooth, square wave function waveform Waveforms selected by the u
  3. 所属分类:LabView

    • 发布日期:2017-04-24
    • 文件大小:239337
    • 提供者:沈超
  1. BOXING

    0下载:
  2. 波形发生器可输出正弦波,锯齿波,方波,三角波等-Waveform generator can output sine, sawtooth, square, triangle wave, etc.
  3. 所属分类:Driver develop

    • 发布日期:2017-04-14
    • 文件大小:3358
    • 提供者:YSpring
  1. signel

    0下载:
  2. 设计一个虚拟信号发生器,要求: (1)可以生成正弦波、方波、三角波、锯齿波、白噪声五种基本波形。 (2)可以生成一个特定(自行设计)波形。 (3)可生成扫频信号。 (4)最高输出频率100HZ。 (5)幅度可调:0-10V。 (6)占空比可调。 (7)两路输出通道,可进行选择。 (8)波形显示等参数可调。 -Design a virtual signal generator requirements: (1) you can produce sine, squ
  3. 所属分类:LabView

    • 发布日期:2017-05-02
    • 文件大小:604088
    • 提供者:陈一伟
  1. DDSsignal-source-design-precisionCNC

    0下载:
  2. 基于DDS技术的高精度数控信号源设计.pdf:采用DDS技术的数控信号源,可输出正弦波,方波和三角波,频率精度优于0.1Hz,最高输出频率可达70MHz-Precision CNC signal source design based on DDS technology pdf:. Use of DDS technology CNC signal source can output sine wave, square wave and triangular wave, frequency acc
  3. 所属分类:Project Design

    • 发布日期:2017-05-09
    • 文件大小:1869953
    • 提供者:xuliya
  1. DAchange

    0下载:
  2. DA 转换 产生三角波 正旋波 梯形波(dac0832)换电路接成同相电压输出形式,其输出电压Vout=IR(1+R2/R1)。-DA conversion triangular wave generated spinning wave trapezoidal wave (dac0832) for access into the same circuit phase voltage-output type, the output voltage Vout = IR (1 R2/R1).
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:5142
    • 提供者:防身产品
  1. dds31

    0下载:
  2. FPGA单片机开发 正弦波 方波 三角波 信号发生器 按键用单片机控制-FPGA Microcontroller Development sine square triangular wave signal generator control buttons with SCM
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:2501
    • 提供者:nddiffi
  1. ex5-6

    0下载:
  2. 程序描述:这个程序利用89S51连接DAC0800,然后通过Timer0的控制不停地送出三角波 */-Descr iption: 89S51 use this procedure to connect DAC0800, and then through the Timer0 kept out of the control triangle wave* /
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:10079
    • 提供者:rnowb
  1. wang

    0下载:
  2. 设计一个单片机与DA0832组成的波形发生器。要求产生周期,幅值均是固定。但波形是可选的,分别为正弦波、方波、三角波、锯齿波。并通过虚拟示波器观察波形的周期是否正确。-Design consists of a microcontroller and DA0832 waveform generator. Cycles required to produce the amplitude are fixed. But the waveform is optional, namely sine, squ
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-17
    • 文件大小:91189
    • 提供者:谢普等
  1. xinhaofashengqi

    0下载:
  2. 多功能信号发生器使用说明书 1.按键部分的使用   K1表示递增锯齿波、K2表示递减锯齿波、K3表示三角波、K4表示阶梯波、K5表示方波、K6表示正弦波、A表示整数部分幅度调节(步进值1V)、A.表示小数部分幅度调节(步进值0.1V)。最后两个按键留作以后升级使用。    2.拨码开关的使用 本次设计使用的是8位的拨码开关,第8位(FC)代表调频,拨通即可调频,第7位(ZANKONG)代表调整方波的占空比,拨通即可调占空比。开关拨通即相应的CPLD输入口为高电平。-Versa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:3021162
    • 提供者:程浩武
  1. AD9834

    0下载:
  2. DDS-AD9834驱动程序。Ad9834是一款能产生高质量正弦波和三角波的低功耗DDS芯片,可以用来制作信号发生器-DDS-AD9834 driver. Ad9834 is capable of producing a high-quality sine wave and triangular wave low-power DDS chip that can be used to produce a signal generator
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2379
    • 提供者:覃振飞
  1. cordic

    0下载:
  2. cordic代码 verilog语言 产生三角波 适用在ISE上面-cordic code verilog language triangular wave generated above apply at ISE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1739
    • 提供者:林一羽
  1. FunctionGenerator

    0下载:
  2. 本例程是在TMS320C5402上实现正弦波,三角波,方波发生器,程序简单易懂-This routine is achieved in the TMS320C5402 sine wave, triangle wave, square wave generator, the program easy-to-read
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-15
    • 文件大小:7856
    • 提供者:机动车
  1. work

    0下载:
  2. 一套完整的matlab语音处理系统,包括三种信号输入方式,浏览菜单,自动生成(方波,三角波,正弦波),以及一系列的处理-A complete set of matlab voice processing system includes three signal input, browse menus, automatic generation (square wave, triangle wave, sine wave), and a series of processing
  3. 所属分类:matlab

    • 发布日期:2017-04-25
    • 文件大小:78386
    • 提供者:王璐
  1. Text2

    0下载:
  2. 单片机应用平台的简易频率计设计.频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。-Simple frequency meter design based on single chip
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1611
    • 提供者:李鹏
  1. DDS

    0下载:
  2. DDS信号生成模块,使用MATLAB产生查找表,可输出方波、三角波、锯齿波、正弦波-DDS signal generator module, using MATLAB to generate a lookup table can output square wave, triangle wave, sawtooth, sine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8883596
    • 提供者:苏杭
  1. E2_1_BasicWave

    0下载:
  2. ,依次产生均匀分布的随机序列、高斯白噪声随机序列、方波信号序列、三角波信号序列、正弦波信号序列, 以及信噪比SNR为10dB的加性高斯白噪声正弦信号。-Sequentially generating a random sequence uniformly distributed white noise Gaussian random sequence, the signal sequence of the square wave, triangle wave signal sequence
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:906
    • 提供者:殷兆云
  1. DAC0832

    0下载:
  2. 用DAC0832和AT89C52单片机产生正弦波,三角波和方波。-Using DAC0832 and single chip microcomputer AT89C52 to realize sine wave, triangular wave and square wave signal.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-13
    • 文件大小:2390
    • 提供者:林小雪
« 1 2 ... 42 43 44 45 46 4748 49 50 »
搜珍网 www.dssz.com