CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 下变频

搜索资源列表

  1. systemtestask

    1下载:
  2. 数字下变频的matlab仿真源程序,自动生产图形-Digital Down Converter,matlab source
  3. 所属分类:Special Effects

    • 发布日期:2017-04-11
    • 文件大小:1479
    • 提供者:青春
  1. ddcmain.c

    1下载:
  2. dsp实现软件无线电数字下变频得主体程序部分-radio dsp software digital down-conversion process was the main part of
  3. 所属分类:Communication

    • 发布日期:2014-10-11
    • 文件大小:931
    • 提供者:zc
  1. wddc_module

    2下载:
  2. 数字下变频的Verilog程序,测试可以直接使用,将A/D信号下变频为基带I,Q两路信号-Digital down conversion of the Verilog program, testing can be used directly to A/D signal down-conversion to baseband I, Q signals two
  3. 所属分类:assembly language

    • 发布日期:2014-10-24
    • 文件大小:3226
    • 提供者:gaigai
  1. ddc

    0下载:
  2. 随着数模转换器硬件的快速发展和DSP处理能力及处理速度的逐步提高,软件无线电技术在商用和军用无线电通信领域也越来越显示出其强大的吸引力。本文研究的高速中频采样和数字下变频技术是目前蓬勃发展的软件无线电领域的两项关键技术。-As advances in technology provide increasingly faster and less expensive digital hardware, more of the traditionally analog functions of a
  3. 所属分类:Project Design

    • 发布日期:2017-05-11
    • 文件大小:2652316
    • 提供者:zc
  1. shuzixiabianpin

    0下载:
  2. 任意中频带通信号多相数字下变频方法含公式推导-Arbitrary multi-phase signal in the frequency band digital down conversion method with the formula derived
  3. 所属分类:Document

    • 发布日期:2017-04-04
    • 文件大小:299962
    • 提供者:本科生
  1. FIR_TEST

    0下载:
  2. 应用matlab 软件设计了下变频器中的CIC、HB、FIR滤波器等核心模块,并将各模块融为一体从软件实现的角度完成了对系统的搭建和功能仿真。-About such key algorithms as CIC, HB, FIR of each module in down- conversion, discussion, abstraction and summarization are given in this paper. Using the MATLAB software, we des
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:182372
    • 提供者:邓建良
  1. tes_amp_80_0314

    0下载:
  2. 基于dsp builder的数字下变频器,IP核做的-digital down converter,degigned in matlab
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2413869
    • 提供者:hcq
  1. RealizationofdigitaldownconversionbyFPGA

    0下载:
  2. 介绍在FPGA 器件上如何实现单通道数字下变频(DDC)系统。利用编写VHDL 程序和调用部分IP 核相结合的方法研究了数字下变频的FPGA 实现方法,并且完成了其主要模块的仿真和调试,并进行初步系统级验证。-Introduced in the FPGA device on how to achieve the single-channel digital down conversion (DDC) system. VHDL procedures and the use of the prepa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:162827
    • 提供者:于银
  1. verilog_FPGA_DDC

    3下载:
  2. 这是一个用verilog HDL实现的实现数字下变频的源代码。-This is a verilog HDL used to achieve the realization of digital down conversion of the source code.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-11
    • 文件大小:2790624
    • 提供者:王坤
  1. GSM_DDC

    0下载:
  2. GSM中数字下变频器的matlab辅助设计,并可以采用matlab生成verilog代码。-GSM digital down converter in the matlab-aided design, and can be used matlab generate verilog code.
  3. 所属分类:3G develop

    • 发布日期:2017-03-29
    • 文件大小:314033
    • 提供者:张勇奇
  1. xiabianpin

    0下载:
  2. 文中应用软件无线电思想对数字下变频器中的几个关键技术进行了研究,对下变频各个模块所涉及到的CIC、HB、FIR等关键算法进行了讨论、提炼与总结,应用matlab软件设计了下变频器中的CIC、HB、FIR滤波器等核心模块,并将各模块融为一体从软件实现的角度完成了对系统的搭建和功能仿真.仿真结果表明,系统实现了对中频信号的下变频处理且无失真现象,大大减少了软件无线电系统数宁信号处理的运算量和数据的存储量,极大地提高了系统的实时性.-The paper application software rad
  3. 所属分类:Project Design

    • 发布日期:2017-03-31
    • 文件大小:287968
    • 提供者:王楚宏
  1. GSM_Digital_Down_Converter

    0下载:
  2. 实现GSM下变频功能,matlab平台。-GSM capabilities to achieve down-conversion, matlab platform.
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:25590
    • 提供者:aeou
  1. GC4016

    0下载:
  2. 数字下变频器GC4016及其在软件无线电中的应用-GC4016 digital down-converter and its application in software radio
  3. 所属分类:software engineering

    • 发布日期:2017-04-04
    • 文件大小:127261
    • 提供者:lee
  1. nco

    0下载:
  2. 基于DSP builder搭建的DDS模块,可以用在数字下变频中的NCO等-Based on DSP builder to build the DDS module can be used in digital down-conversion of the NCO, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:7570
    • 提供者:郑程
  1. ddc

    0下载:
  2. 仿真了DDC的工作流程,不了解数字下变频的朋友可以下载-DDC emulation of the workflow, do not understand the digital down-conversion can be downloaded to see if a friend
  3. 所属分类:OA

    • 发布日期:2017-03-28
    • 文件大小:1298
    • 提供者:郑程
  1. cic_dec_8_five

    0下载:
  2. CIC抽取滤波器,抽取系数8,verilog版本,用于数字下变频-CIC decimation filter, extraction coefficient of 8, verilog version, for digital down-conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:791
    • 提供者:王刚
  1. fir_dec3

    1下载:
  2. FIR抽取滤波器,抽取系数3,Verilog版本,数字下变频-FIR decimation filter, extraction coefficient of 3, Verilog version of the digital down-conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1853
    • 提供者:王刚
  1. ddc_filter

    0下载:
  2. 基于数字下变频的低通滤波器设计,原理和设计理念-digital down convert or ddc low digital filter design
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:25212
    • 提供者:mediative
  1. ddc_30m

    0下载:
  2. 基于宽带情况下数字下变频的全过程仿真程序 -Case based broadband digital down conversion of the whole process simulation program
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-03
    • 文件大小:1472
    • 提供者:石伟婷
  1. DDC

    2下载:
  2. 这是一篇介绍数字下变频中抽取滤波器设计的文章 我觉得很有指导意义,在此共享-this arcitle is dicrible the DDC design,very good
  3. 所属分类:Project Design

    • 发布日期:2017-03-23
    • 文件大小:455167
    • 提供者:黄锦江
« 1 23 4 5 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com