CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 串口 FPGA

搜索资源列表

  1. FpgaConfig_CS_20090508

    0下载:
  2. 自己写的一个使用单片机配置FPGA的上位机C#代码,使用串口通讯。-Wrote it myself, using a microcontroller to configure FPGA-Host Computer C# code, the use of serial communication.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:3062156
    • 提供者:胡小平
  1. edaok_UART_FPGA

    0下载:
  2. 用FPGA实现UART的串口通信,可以设置数据位,校验位,奇偶校验等-With the FPGA to achieve UART serial communication, you can set the data bits, parity bit, parity, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4127171
    • 提供者:杨奔
  1. test_com

    0下载:
  2. 本实验是用来测试FPGA和串口之间的通信的,FPGA发数据读串口的写数据,再发到串口显示出来。-This experiment is used to test the communication between the FPGA and the serial port of, FPGA send data read write serial port data, and then sent to the serial port is displayed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:555242
    • 提供者:郝春贺
  1. 2440_FPGA

    0下载:
  2. 在三星2440基础上扩展FPGA,实现多串口通讯的FPGA源码。编译、验证完全正确,放心使用,是不可多得的实用资料!-Based on the expansion of the Samsung 2440 FPGA, FPGA implementation of serial communication source. Compile, verify completely correct, ease of use, is a rare practical information!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2594762
    • 提供者:周明
  1. sim_uart

    0下载:
  2. uart 收发器 verilog 代码,实现自收发功能 sys clk = 25m, baud 9600 停止位1, 无校验位; 代码实现了串口自收发功能,及把从 PC 收到的内容都发送会 PC, 其他波特率,自行修改代码即可,在 alter 的FPGA 上调试通过; -verilog code uart transceiver to achieve self-transceiver function sys clk = 25m, baud 9600 1 stop bit, no par
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2434
    • 提供者:周西东
  1. FPGA---Serial

    0下载:
  2. FPGA实现的串口程序,包含顶层调用的代码。-FPGA implementation of the serial program, including top-level calling code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3638
    • 提供者:周华飞
  1. ADC_SCI

    0下载:
  2. DSP的ADC采样和SCI串口通信,在CCS3.1环境下已成功运行-DSP' s ADC sampling and SCI serial communication, the environment has been successfully running CCS3.1
  3. 所属分类:DSP program

    • 发布日期:2017-04-03
    • 文件大小:253531
    • 提供者:袁苑
  1. FPGUART

    0下载:
  2. verilog语言,FPGA,串口。 波特率9600,数据位8位,停止位1.-verilog language, FPGA, serial. 9600 baud, 8 data bits, 1 stop bit.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2088313
    • 提供者:老马
  1. FPGA_Sample

    0下载:
  2. FPGA的一些入门程序,包括跑马灯、状态机、USB2.0接口、串口等等。可自己看-Some FPGA-entry procedures, including marquees, state machine, USB2.0 interface, serial ports and so on. Can look up
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-08-29
    • 文件大小:15430656
    • 提供者:赵伟
  1. uart_test

    0下载:
  2. 基于FPGA 的sparten-6 AX-309片内串口uart通信例子(FPGA sparten-6 AX-309 uart connection example)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:386048
    • 提供者:小也先生
  1. kehshechenxu

    1下载:
  2. 编制一全双工UART电路,通过试验箱MAX202E转换成RS232电平,与计算机进行通讯实验,设置8个按键,按键值为ASIC码“1”~“8”,通过串口发送给计算机,在计算机上显示键值,同时在数码管最高位显示;计算机可发送“0”~“F”的ASIC码,FPGA接收后在数码管低位显示0~F。通过按键可设置波特率。 要求:波特率为三种 1200、2400、9600,由1个按键选择,3个LED分别指示; 数据格式为1位起始位、8位数据位和一位停止位; 上位计算机发送接收软件可使用
  3. 所属分类:其他

  1. 频率接收

    0下载:
  2. STM32F103与FPGA的串口通信,波特率4800,采用单向通信的方法。(Serial communication between STM32F103 and FPGA)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-22
    • 文件大小:6109184
    • 提供者:梅梅宇
  1. IIC读写EEPROM发送到PC串口

    0下载:
  2. 能实现用IIC读EEPROM并且将读取的数据通过串口发送到PC端,以及在PC端通过串口发送数据给FPGA,再利用IIC将数据写入EEPROM(The program can realize that FPGA read the data from EEPROM by IIC and then send it to PC by UART,and that PC send the data to FPGA by UART and then write the data to EEPROM by
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:246784
    • 提供者:lml_234
  1. uartverilog

    0下载:
  2. FPGA利用串口、FIFO实现串口收发数据(FPGA using serial port, FIFO serial transceiver data)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:196608
    • 提供者:mzl127
  1. uart

    0下载:
  2. FPGA串口收发实现程序 已调试 可用 简单实用(FPGA serial transceiver)
  3. 所属分类:其他

    • 发布日期:2017-12-25
    • 文件大小:256000
    • 提供者:mzl127
  1. UART-Altera

    0下载:
  2. 使用Atera FPGA CycloneII 实现串口通信,遵循RS232协议。FPGA上的模块实现了数据的接收,取补码和发送。(Achieve serial communication with FPGA, following the protocol of RS232.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:1809408
    • 提供者:swy0721
  1. uart_2_pc

    0下载:
  2. 实现FPGA和PC通过串口传输数据,已经通过验证,可以结合自己的设计直接拿来用(ealize FPGA and PC to transmit data through serial port)
  3. 所属分类:其他

  1. FPGA实现串口解析

    0下载:
  2. 用verilog语言不同的编写方式来 实现各种复杂串口通讯(use the verilog to uart)
  3. 所属分类:串口编程

    • 发布日期:2017-12-19
    • 文件大小:5120
    • 提供者:huihui2113
  1. parameter_uart_rx

    1下载:
  2. 串口接收模块,可以通过parameter,参数化配置传输速率、传输位宽和校验。采用Verilog语音编程实现。使用者根据串口的要求配置好参数,并根据缓冲的大小配置FIFO就可以使用。对帧错误(停止位不为高),检验错误和读FIFO超时(FIFO满的情况下,有新的数据到)等现象进行了检查。(UART serial receiver module, through parameter, configuration parameters of the transmission rate, Data wi
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-08-30
    • 文件大小:4096
    • 提供者:老工程师
  1. 07_uart_test

    0下载:
  2. fpga 串口 Verilog 黑金的板子,入门(fpga uart test xilinx)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:389120
    • 提供者:翻山越岭
« 1 2 3 45 6 7 8 9 10 ... 34 »
搜珍网 www.dssz.com