CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 串口 vhdl

搜索资源列表

  1. DEMO_V

    0下载:
  2. 黑金FPGA开发板(学生)测试程序 VHDL语言 包括led 按键 串口 lcd的检测-Black Gold FPGA development board (student) test procedures VHDL language, including the detection of serial lcd led key
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:125811
    • 提供者:zhang
  1. uart_v

    0下载:
  2. VHDL串口程序,通过验证,识货的快下载,精品-VHDL serial procedures, validated,识货faster downloads, quality
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1628
    • 提供者:dushibiao
  1. uart

    0下载:
  2. 用vhdl实现的串口通信程序,可以综合并下载到FPGA运行.-Achieved using VHDL serial communication procedures, can be synthesized and downloaded to the FPGA to run.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2848
    • 提供者:liaocongliang
  1. myself_uart_vhdl

    0下载:
  2. 自己写的,对串口的VHDL描述,有完整testbench,特别是详细的功能说明和注释。-Wrote it myself, on the serial port of the VHDL descr iption of a complete testbench, in particular, detailed functional descr iptions and notes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6897
    • 提供者:崔易
  1. RS-232sender

    0下载:
  2. 一个串口RS-232 发送模块。基于VHDL语言。-A serial RS-232 send module. Based on the VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:9114
    • 提供者:李超
  1. VHDLSourceProgramofReadDAatasFromSRAM

    0下载:
  2. 从SRAM中读取数据并显示的VHDL源程序,了解串口通信的基本原理,了解VGA现实图像的方法和原理。-VHDL Sorce Program of Read Datas From SRAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:944
    • 提供者:杨波
  1. __FPGA_Prototyping_by_VHDL_Examples

    0下载:
  2. 在赛灵斯上用VHDL实现,串口,PS MOUSE, PS KEYBOARD..... 协议-on Xilinx,to achieve using VHDL too fullfill UART, PS MOUSE, PS KEYBOARD ..... prototype
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:16910396
    • 提供者:jack
  1. uart

    0下载:
  2. fpga内嵌入双向串行通讯口 传输波特率可变 可通过查询方式确定发送接收状态 内置256字节发送接收缓冲区 -serial communication
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:4869
    • 提供者:tianzhijun
  1. innovateasia2009

    0下载:
  2. 串口uart的vhdl,verilog,lattic实现原码-The uart serial vhdl, verilog, lattic realization of the original code
  3. 所属分类:Other systems

    • 发布日期:2017-05-26
    • 文件大小:9068524
    • 提供者:Wu
  1. serial

    0下载:
  2. 该程序用vhdl 编写,模拟串口工作,对上位机发送数据在串口调试工具下显示,接受上位机数据在数码管上显示-Vhdl prepared to use the program to simulate the serial port work, send data to the PC serial port debug tools in the next showed that IPC data in digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2869
    • 提供者:gjp_rain
  1. uart_vhdl

    0下载:
  2. VHDL语言的实现标准的UART串口,并可以多次例化成多串口的-The realization of VHDL language standard UART serial port
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:10358
    • 提供者:刘宏
  1. uart

    0下载:
  2. 用VHDL编写的RS232串口的通信程序-Written with the VHDL serial RS232 communication program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:198242
    • 提供者:chenye
  1. VHDL_32bit_timer

    0下载:
  2. VHDL写的32位计数,两个四位共阳数码管输出 串口输出+数码管显示的计时器程序 每次停止后串口输出。-VHDL to write 32-bit count, a total of two 4-yang control output serial digital output+ digital tube displays each stopped the timer program serial output.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:250616
    • 提供者:
  1. Sequencedetector

    0下载:
  2. 序列检测器可用来检测一组或多组由二进制码组成的脉冲序列信号,这在数字通信领域有广泛的应用。当检测器连续收到一组串行二进制码后,若这组码与检测器中预制的码相同,输出为A,否则输出为B。序列检测I/O口的设计如下:设Din是串行数据输入端,clk是工作时钟,clr是复位信号,D是8位待检测预置数,QQ是检测结果输出端。-Sequence detector can be used to detect one or more sets consisting of binary code from the
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-31
    • 文件大小:3667
    • 提供者:yufang
  1. UARTE

    0下载:
  2. 用VHDL语言编写的串口通讯模块,可以实现发送和接受功能。-A UART module writen in VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:486409
    • 提供者:安宁
  1. UART

    0下载:
  2. 通用UART串口的VHDL描述,可自行设定奇偶校验,波特率等参数-VHDL descr iption of generic UART serial port, they are free to set parity, baud rate and other parameters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1233541
    • 提供者:姜明
  1. chuankou

    0下载:
  2. 基于VHDL串口通信,包括原理图和VHDL输入-VHDL-based serial communication, including schematic and VHDL input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:262600
    • 提供者:小陈
  1. example

    0下载:
  2. 我FPGA开发板的程序!!!包括数、码管iic、VGA、乘法器、串口。加法器、比较器、状态机等等等了,主要是VHDL的也有部分好似Verilog的。参考下吧-verilog...vga..uart...add...etc..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6266775
    • 提供者:地主
  1. UART

    0下载:
  2. 这是用VHDL语言编写的FPGA串口程序,希望对大家有用。-It is written in VHDL, FPGA serial program, we want to be useful.
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:15978
    • 提供者:刘金鑫
  1. uart

    0下载:
  2. 一个功能很强大的异步串口例子,用vhdl完成,波特率等参数可以调整。-A feature very powerful example of asynchronous serial interface, complete with vhdl, baud rate parameters can be adjusted.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4347
    • 提供者:tofly
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 17 18 »
搜珍网 www.dssz.com