CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 串口 vhdl

搜索资源列表

  1. FPGA_Interface_Equipment

    0下载:
  2. 跑马灯、串口、矩阵键盘、蜂鸣器、I2C、数码管、拨码开关 vhdl verilog源代码(精华)-Marquees, serial port, matrix keypad, buzzer, I2C, digital control, DIP switch vhdl verilog source code (extract)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1591450
    • 提供者:李银
  1. VHDLsourcecode

    0下载:
  2. VHDL编写的基于过采样的串口代码,在epm3256中编译通过,值得参考。-Written in VHDL-based over-sampling of the serial code, compiled by the epm3256, worth considering.
  3. 所属分类:Com Port

    • 发布日期:2017-04-02
    • 文件大小:2277
    • 提供者:崔建
  1. uart

    0下载:
  2. 用VHDL编程,在FPGA上实现串口的控制!希望一切分享一下!-Using VHDL programming, the FPGA, Serial control! Hope that all share!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2665557
    • 提供者:ncf
  1. 6

    1下载:
  2. 4位数码扫描显示电路,我们控制一个七段LED需要8个输出端口;如果要输出四位十进制数,就需要32的输出端口,这将占用大量的端口资源。采用串行扫描显示,我们只需要8+4共12个端口即可。其原理是:用一个四位的输出端控制,某一时刻只选中其中的一个LED(输出为‘1’表示选中),八位的输出端将该LED所需要显示的值输出;然后四位的输出端值改变,选中下一个LED。这样依次类推。如果选择的频率很快,达到50Hz以上,由于人眼的视觉暂留效应,看起来就像4个LED同时显示。 设计一个程序,输入四个一位十
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3700
    • 提供者:李小勇
  1. uart

    0下载:
  2. 串口通信程序,硬件描述语言VHDL,代码简洁,功能完善-Serial communication program, hardware descr iption language VHDL, the code simple and functional. . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:377655
    • 提供者:xin
  1. picoblaze_uart_Source_code

    0下载:
  2. 用Picoblaze做的串口操作与控制程序,用VHDL语言编写,调试通过。-Serial do with Picoblaze operation and control procedures, using VHDL language, through debugging.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:26443
    • 提供者:wangnan
  1. uartvhdl

    0下载:
  2. 串口协议的vhdl实现,经过验证,可以直接使用-Vhdl serial protocol implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:304107
    • 提供者:罗丹
  1. UART

    0下载:
  2. 串口测试程序 基于FPGA的MAX II系列的VHDL源程序端口已经设置好-Serial port test program is based on the MAX II family of FPGA VHDL source port has been set up
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:487775
    • 提供者:陈明风
  1. UART

    0下载:
  2. uart 串口实现例程 VHDL语言实现-uart serial interface routines VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:7328
    • 提供者:Ivan_s
  1. serialport

    0下载:
  2. 用VHDL语言,在FPGA上实现了对RS232串口的控制,能够接收从计算机键盘上输入的字符,并将接收的字符通过串口再发回到计算机。-It is a VHDL program on FPGA controling RS232 ,and it can receive and transmit data normally.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5357
    • 提供者:dujuan
  1. FPGASERIALPORT

    0下载:
  2. 利用VHDL语言实现串口通信,本程序经过调试可以正常使用。-VHDL SERIAL PORT COMMUNICATION
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3934
    • 提供者:刘茜
  1. comm

    0下载:
  2. 串口通信电路VHDL描述,采用ISE环境开发-VHDL descr iption of serial communication circuits
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1394
    • 提供者:周涛
  1. Uart._VHDL

    0下载:
  2. 很不错的串口程序,用VHDL语言写的,大家可以参考下。-it s a very good example for fpga applying on the uart communition,which is compiled by VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:631694
    • 提供者:杜峰
  1. UARTVHDL

    0下载:
  2. 异步串口程序的IP核,分层文件编写,包括顶层文件和底层封装文件,VHDL编写-IP core asynchronous serial procedures, hierarchical document preparation, including the top and bottom package file documents, VHDL preparation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:149675
    • 提供者:joe
  1. cjq_firmware_combine

    0下载:
  2. 这是一个通过cpld扩张两个串口和两个并口的VHDL程序,非常适用于初学者扩张串口和并口用。-This is an expansion by cpld two serial and two parallel port of the VHDL program is ideal for beginners to use serial and parallel port expansion.
  3. 所属分类:Com Port

    • 发布日期:2017-04-03
    • 文件大小:49396
    • 提供者:张凯
  1. RS232_ysd

    0下载:
  2. 串口接口控制器参考设计VHDL代码,方便开发FPGA人员进行串口的开发,是一个不错的源码解压安装后可在quartus里例化使用-Serial interface controller reference design VHDL code, facilitate the development of FPGA serial port staff development, is a good source decompression after installation in case of use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1356611
    • 提供者:叶开
  1. uart_verilog

    0下载:
  2. 三个用VHDL/VERILOG实现串口通信的程序。-Three with the VHDL/VERILOG achieve serial communication program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:14456
    • 提供者:yihoumei
  1. eda-2009

    0下载:
  2. 9600波特率的串行口VHDL接收和发送模块,两个模块既可以单独使用。-VHDL 9600 baud serial port receive and transmit modules, two modules can be used alone.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3947906
    • 提供者:shaobingxin
  1. Flash_Ctrl

    0下载:
  2. 串行flash的写及擦除操作,串行flash,spi接口,支持并口输出-Serial flash write and erase operations, serial flash, spi interface, support for parallel port output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1053
    • 提供者:王伯祥
  1. uart

    0下载:
  2. 模拟串口程序,VHDL语言编写,带modem。-vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:20891
    • 提供者:xinghaili
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 18 »
搜珍网 www.dssz.com