CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 串并

搜索资源列表

  1. CPLD_USB

    0下载:
  2. :CPLD 可编程技术具有功能集成度高、设计灵活、开发周期短、成本低等特 点。介绍基于ATMEL 公司的CPLD 芯片ATF1508AS 设计的串并转换和高速 USB 及其在高速高精度数据采集系统中的应用-: CPLD programmable technology with a high degree of functional integration, design flexibility, short development cycle, and low cost. ATMEL-b
  3. 所属分类:USB develop

    • 发布日期:2017-03-30
    • 文件大小:185958
    • 提供者:简单
  1. 74595

    0下载:
  2. 串并转换仿真,内有详细说明和仿真波形,能够成功运行-SERDES simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1251880
    • 提供者:liuchao
  1. auk_sdsdi

    6下载:
  2. 用于FPGA设计的代码(Verilog代码),在FPGA设计中的高速串并转换,时钟提取,对齐处理等功能-for FPGA design ,written by Verilog HDL the functions include SERDES , CDR and so on
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-20
    • 文件大小:229495
    • 提供者:龙珠
  1. s2p

    0下载:
  2. 一个串并转换的Verilog源码,有questasim仿真。-A string and convert the Verilog source code, there are questasim simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:119943
    • 提供者:杨经纬
  1. serial

    0下载:
  2. 一个基于单片机串口通信的程序,包含串并转换电路驱程序,驱动光二极管闪动程序, 串口发送和接收程序!-A microcontroller-based serial communication programs, including string and convert the circuit-drive programs, drivers, LED flashing program, serial port to send and receive programs!
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:9824
    • 提供者:谢翼
  1. 434343

    0下载:
  2. 这是一个用VHDL语言设计的8位串并转换器,立面有点错误自己仿真修改下-This is a design using VHDL language and the 8-bit string converter, elevation changes a little bit wrong, under their own simulation
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-10
    • 文件大小:740
    • 提供者:丰富
  1. ser_par

    0下载:
  2. 24bitAD数据采样进行串并转换,并行输出。另包括24位DA并串转换,串行输出。-24bitAD data sampling and converted to strings, parallel output. Other notable features include 24-bit DA and string conversion, serial output.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:2491
    • 提供者:caofangfang
  1. ctos

    0下载:
  2. 利用vhdl完成基于spartan3E开发板的串并转换-Use vhdl complete spartan3E development board based on the string and convert
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:9959
    • 提供者:长江
  1. chu_bing

    0下载:
  2. 串并转换的一点总结希望对大家有一定的帮助谁有好后点的意见与我联系一下-String and convert the point summed up hope everyone who has a good help to a certain extent after the point of view contact me about
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:297690
    • 提供者:gaohao
  1. 68140323

    0下载:
  2. vhdl实现了串并转换,和并串转换,可供大家参考学习!-vhdl realized and string conversion, and and the string conversion, for your reference to learn!
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:1549
    • 提供者:欧影
  1. chuanbin

    0下载:
  2. 对信号进行串并转换,使其分成I,Q2路输出信号 -String and convert the signal to make it into I, Q2 output signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3864
    • 提供者:龙兰飞
  1. 32bitshiftregister

    0下载:
  2. 32位带锁存移位寄存器,采用verilog HDL语言编写,可用于串并转换-32-bit shift register with latches, using verilog HDL language can be used for string and convert
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:739
    • 提供者:张建
  1. cbzh

    0下载:
  2. 串并转换的verilog文件带仿真结果图片的-String and convert the verilog file with simulation results pictures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1249587
    • 提供者:王双
  1. Para_to_Seril

    1下载:
  2. 用VHDL实现串并变换的程序,FPGA测试成功,正确变换。-String with VHDL implementation and transformation procedures, FPGA test successfully, the correct transformation.
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-22
    • 文件大小:262393
    • 提供者:陈言
  1. SERDES

    2下载:
  2. 基于Verilog的串并转换器的设计与实现,采用两种不同的方案来实现串并和并串转换的功能,并用ISE软件仿真以及chipscope的调试-Verilog-based serial and parallel converter design and implementation of two different programs to achieve the string and and and string conversion functions, and use the ISE softwa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-28
    • 文件大小:785408
    • 提供者:陈凯
  1. sipo8

    0下载:
  2. 串入并出源代码,可进行8位数据的串/并转换。其中包括QUARTUS2的完整工程,有正确的仿真波形供参考。-In series and the source code, can be 8-bit data series/parallel conversion. Including QUARTUS2 complete project,and the correct simulation waveform for reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:217522
    • 提供者:simulin_2008
  1. sequence_FPGA

    0下载:
  2. 这个是一个集m序列发生器、序列检测器、并串转换、串并转换等功能,已通过测试。-sequence
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:515829
    • 提供者:姜国千
  1. cb

    0下载:
  2. 实现串并转换,非常好用的,已经经过仿真验证的,可以通过。-String and convert to achieve, very easy to use, has been verified by simulation, you can.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:64645
    • 提供者:yangxiao
  1. 11071222426689

    0下载:
  2. 用vhdl实现1:8串并转换,希望对大家有用。-the vhdl chuan bing zhuan huan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:27047
    • 提供者:chenshi
  1. 串并转换

    0下载:
  2. vhdl实现串并转换,其中附有源程序和testbench程序,可以用modelsim仿真
  3. 所属分类:其它

« 1 23 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com