CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 乘法器程序

搜索资源列表

  1. adder3

    0下载:
  2. 此源代码是基于Verilog语言的七人投票表决器 、2 个 8 位数相乘 、8 位二进制数的乘法 、同一循环的不同实现方式、使用了`include 语句的 16 位加法器 、条件编译、加法计数器中的进程、任务、测试、函数、用函数和 case语句描述的编码器、阶乘运算函数、测试程序 、顺序执行、并行执行,特别是七人投票表决器,这是我目前发现的最优的用硬件描述的源代码。-The Verilog language source code is based on the seven-vote, and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:2048
    • 提供者:王柔毅
  1. multt

    0下载:
  2. 该程序实现了一个16*16的乘法器,可以用作设计乘法器参考-The program implements a 16* 16 multiplier, multiplier design can be used as reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:23343
    • 提供者:梅梅
  1. A-C8V4

    1下载:
  2. 淘宝畅销FPGA开发板的A-C8V4 电路图及例子 9实验九:利用语言实现3-8译码器 10实验十:利用语言实现按键和数码管显示 11实验十一:利用语言实现乘法器数码管显示 …… 18实验十八:利用语言实现蜂鸣器唱歌 23实验二十三:利用语言实现LCD1602显示 24实验二十四:利用语言实现LCD12864显示汉字 25实验二十五:利用程序实现串口RS232与电脑通信 28实验二十八:利用程序实现VGA显示RGB彩条信号 31实验三十一:利用程序实
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:12894094
    • 提供者:liyang
  1. Example8

    0下载:
  2. 一个基于FPGA的4位流水乘法器的小程序,设置了时钟输入,数据输入,并输出结果。-One of four water-based FPGA multiplier applet, set the clock input, data input and output.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:230951
    • 提供者:卢进
  1. mpy

    0下载:
  2. 在IAR环境上,验证msp430f5529的硬件乘法器,提供msp430f5529的硬件乘法器的程序示例。-On the IAR environment, verify msp430f5529 hardware multiplier, provides program examples msp430f5529 hardware multiplier.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:308711
    • 提供者:esfs
  1. multiply_8_VHDL

    0下载:
  2. 由8 位加法器构成的以时序方式设计的8 位乘法器,采用逐项移位相加的方 法来实现相乘的VHDL程序代码。包含几个小模块和一个顶层设计文件,运行可用。-an 8 bit multiplier combined with 8 bit adder using a design by way of timing,and it use a way of Itemized shift to implement the multiply.It include some little module and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2587
    • 提供者:
  1. mul

    0下载:
  2. 乘法器vhdl程序,主要是 修正后的乘法器,希望对大家有帮助-study the program of vhdl for multiplier
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-12
    • 文件大小:1020
    • 提供者:王烁臣
  1. Experiment01

    0下载:
  2. FPGA源码,初学者使用,时序程序分析,整数乘法器-FPGA source code, for beginners to use, timing program analysis,Integer multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:636918
    • 提供者:李清政
  1. code

    1下载:
  2. 基于FPGA的乘法器译码器程序,非常适合初级菜鸟学习使用入门程序,欢迎大家下载学习-FPGA multiplier based procedures, very suitable for learning to use primary rookie entry procedures, are welcome to download the learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:34392
    • 提供者:zhang yang
  1. MSP430x261x_MPY

    0下载:
  2. MSP430x261x 硬件乘法器配置程序-MSP430x261x hardware multiplier configuration program
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-27
    • 文件大小:13752
    • 提供者:ChangC
  1. fifo_pipeline_booth_multiplier

    0下载:
  2. fifo_pipeline_modified_booth_multiplier一个使用FIFO的Booth乘法器,并且使用了流水线描述方式,本程序给予verilog 语言-fifo_pipeline_modified_booth_multiplier, a booth multiplier using pipeline technology in verilog HDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2969
    • 提供者:谷雨
  1. pipeline_lut_multiplier

    0下载:
  2. pipeline_lut_multiplier, 一个使用查找表实现的流水线乘法器,本程序使用verilog HDL language 语言编写-pipeline_lut_multiplier ,a multiplier based on look up tablets ,and it is programing in verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5391
    • 提供者:谷雨
  1. VHDL_Multiplier

    1下载:
  2. 三种 VHDL 实现乘法器的方法,可以用于学习FPGA的时序、组合电路,同时附带了 TestBench 程序-Three kinds of methods to achieve multiplier in VHDL, with TestBench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5087
    • 提供者:李成
  1. count

    0下载:
  2. 这是一个类似乘法器的计数器的java小程序,大家一起交流学习。-java application for counter
  3. 所属分类:Java Develop

    • 发布日期:2017-04-06
    • 文件大小:535
    • 提供者:sabrana
  1. Booth2_16

    0下载:
  2. 这是16位booth阶2的有符号乘法器及其相关测试程序-16 bit booth order 2 with symbolic multipliers and related test procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1292
    • 提供者:胡英鹏
  1. mux16

    0下载:
  2. 基于DE2-70开发板的十六位乘十六位的乘法器,程序简单易懂-Based on the DE2-70 development board 16 x 16 multiplier, easy to understand
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:871815
    • 提供者:吉泽
  1. Analog-multiplier

    0下载:
  2. 实现了乘法器功能,包含仿真电路和仿真实现程序-Achieve a multiplier features, including simulation, and circuit simulation program to achieve
  3. 所属分类:SCM

    • 发布日期:2017-05-04
    • 文件大小:48081
    • 提供者:肠胃炎
  1. mux16

    0下载:
  2. 该程序中中就是要利用时序逻辑设计方法来设计一个 16 位乘法器-The program is to take advantage of the sequential logic design method to design a 16-bit multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:435383
    • 提供者:穆毅蚺
« 1 2 3 4 5»
搜珍网 www.dssz.com