CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通灯设计

搜索资源列表

  1. 路口交通灯

    0下载:
  2. 个人硬件课程设计,简单实现了FPGA平台的路口交通灯管理,开发环境为MAX+plus-individual hardware curriculum design, a simple realization FPGA platform junction traffic lights management, development environment for MAX plus
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:118919
    • 提供者:张宵
  1. 十字路口交通灯控制器设计

    0下载:
  2. 使用VHDL实现十字路口交通灯控制器设计,适用于初学者-use VHDL crossroads traffic signal controller design, applicable to beginners
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:29495
    • 提供者:沈燮勇
  1. 十字交通灯的实现

    0下载:
  2. 十字路口交通信号灯控制系统的设计基本要求:(利用8088、8254、8255及其它器件实现交通信号灯控制)(1)南北与东西方向,每个方面由红黄绿三个灯控制(2)南北向: 红(30秒),黄(5秒),绿(25秒)   东西向: 红(35秒),黄(5秒),绿(25秒)   上述基本参数可以根据实际情况自行调整,灯的变化规律与实际路口规律相同,绿灯在最后5秒钟时,黄灯亮,然后红灯亮,不允许两个方面同时亮绿灯。(3)绿灯的时间显示在数码管上进行显示。(4)可以通过一个开关控制,当开关信号为0时,整个交通灯
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:3017
    • 提供者:罗春威
  1. 急救车与交通灯

    0下载:
  2. 急救车与交通灯)(1)南北与东西方向,每个方面由红黄绿三个灯控制(2)南北向: 红(30秒),黄(5秒),绿(25秒)   东西向: 红(35秒),黄(5秒),绿(25秒)   上述基本参数可以根据实际情况自行调整,灯的变化规律与实际路口规律相同,绿灯在最后5秒钟时,黄灯亮,然后红灯亮,不允许两个方面同时亮绿灯。(3)绿灯的时间显示在数码管上进行显示。(4)可以通过一个开关控制,当开关信号为0时,整个交通灯全灭提高要求:(1)设计一个紧急控制开关信号,当紧急开关信号为1时,两个方向的灯全为红灯。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1611
    • 提供者:dd
  1. 交通灯毕业设计

    1下载:
  2. 摘要 近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月异更新。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MSC-51系列单片机Intel8031和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8031芯片的P1口设置红、绿灯燃亮时间的功能;为了系统稳定可靠采用了MAX629“看门狗”芯片,避免了
  3. 所属分类:报告论文

    • 发布日期:2009-04-04
    • 文件大小:904704
    • 提供者:xcb7257@163.com
  1. 数字电子技术课程设计-交通灯

    0下载:
  2. 摘要:本文介绍了以计算机为核心.利用可编程并行接口芯片8255A的软硬件功能,实现对交通灯控制 关键词: 计算机 可编程并行接日芯片 交通灯 8255A 引言:交通灯是交通安全的关键,已广泛应用于城乡的十字路口,它的有无作为交通安全检查的重要依据,是交通秩序正常进行的有力保障。 一、实验目的 1. 了解交通灯管理的基本工作原理。 2. 熟悉8253计数器/定时器、8259A中断控制器和8255A并行接口的工作方式及应用编程。 3. 掌握多位LED显示的方法。
  3. 所属分类:多媒体

    • 发布日期:2009-06-07
    • 文件大小:372736
    • 提供者:tc489587464
  1. 交通灯设计

    0下载:
  2. 做的毕业设计,包括SCH,毕业报告,调试程序。元件清单等。
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. 交通灯模拟控制

    0下载:
  2. 交通灯模拟控制 课程设计
  3. 所属分类:交通/航空行业

    • 发布日期:2008-12-02
    • 文件大小:123392
    • 提供者:quhuijing
  1. 单片机-交通灯

    0下载:
  2. 本系统就是充分利用了8051和8255芯片的I/O引脚。系统统采用MSC-51系列单片机Intel8051和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8031芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. Quartus II 交通灯课程设计(成功版)

    6下载:
  2. 这是一个由Quartus II 运行的交通灯课程设计,正在学习的朋友可以下载来看看。
  3. 所属分类:嵌入式/单片机编程

  1. 数字电子课程设计——交通灯仿真图

    1下载:
  2. 用Proteus绘制的交通灯仿真图,是我大二课程设计的作品,完全实现交通灯的功能模拟仿真。
  3. 所属分类:绘图程序

    • 发布日期:2011-03-10
    • 文件大小:164768
    • 提供者:hkhaha
  1. 微机接口实习报告:交通灯

    1下载:
  2. 模拟交通灯的控制,分为东西和南北方向,分别由红、绿、黄等显示。数秒时间应从数码管显示,并包括紧急情况处理。硬件实现设计(画图并连线),软件编程调试,要求有演示效果。 课题提倡自己增加电路功能。
  3. 所属分类:其它资源

    • 发布日期:2011-05-23
    • 文件大小:500736
    • 提供者:Ava0424
  1. 电气专业的交通灯课题的设计

    0下载:
  2. 这是本人的交通灯课题设计,喜欢的话可以试用一下啊 用keil软件和protues软件
  3. 所属分类:编程文档

  1. jiaotongdeng

    0下载:
  2. 基于VHDL状态机的交通灯设计(已仿真下载实验板测试)(Traffic light design based on VHDL state machine (simulation download, experimental board test))
  3. 所属分类:VHDL/FPGA/Verilog

  1. traffic

    0下载:
  2. 交通灯设计,用verilog语言来实行,不包含设计原理图(aknsh s kjsf kwfh jfls ljfsl s lfjls jlsj ls jlf l ljfs ljljl f jljl ljjlsfj ljlsfj ljsflhig)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:21504
    • 提供者:自渎
  1. 交通灯

    0下载:
  2. 基于51单片机的交通三色灯的设计与操作控制(Design and control of traffic light based on 51 single chip microcomputer)
  3. 所属分类:单片机开发

    • 发布日期:2018-05-02
    • 文件大小:271360
    • 提供者:徐晨
  1. jiaotongdeng_fuza

    1下载:
  2. 本文基于FPGA技术的发展和Quartus II开发平台,实现路口交通灯控制器是一种解决方案。使用Verilog HDL硬件描述语言来描述语言程序的分频器模块,控制模块,数据解析模块,显示译码模块和段选位选模块,五个模块,并通过各个模块程序之间的端口合理连接和协调,成功设计出交通信号灯控制电路。在Quartus II环境下模拟,生成顶层文件下载后,在FPGA EP2C5Q208器件进行验证。(Based on the development of FPGA technology and the
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-03-24
    • 文件大小:5611520
    • 提供者:威威谈谈
  1. 交通灯fpga

    1下载:
  2. 使用fpga设计地交通信号灯,可用于十字路口,采用verilog语言编写,代码可直接使用,在fpga板子上跑。
  3. 所属分类:其它

  1. 交通灯

    1下载:
  2. 1.设计一个十字路口交通信号灯控制器,其要求如下: 设南北方向的红、黄、绿灯分别为r,y,g;东西方向的红、黄、绿灯分别为R,Y,G,满足图1 的工作流程并且可以并行工作:g(R)→r(G),黄灯用于提示绿灯变为红灯或者绿灯变为红灯。(1. Designing a traffic light controller for intersections, the requirements are as follows: Let the red, yellow and green lights in
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2019-12-17
    • 文件大小:495616
    • 提供者:雨狼007
  1. 51单片机交通灯

    0下载:
  2. 基于51单片机的交通灯设计,有反证和代码
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2021-12-30
    • 文件大小:17320476
    • 提供者:as1234
« 1 2 3 45 6 7 8 9 10 ... 45 »
搜珍网 www.dssz.com