CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通灯 仿真

搜索资源列表

  1. VHDLgdewrrrrrrrrrrrr

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:4245
    • 提供者:jazvy
  1. trafficontrol

    0下载:
  2. 使用verilog编写的交通灯控制程序,各方向通行时间可调,绿灯5s闪烁,在maxplus下调试通过,附仿真波形,在EP系列实验板上测试成功-use Verilog prepared by the traffic lights control procedures, the passage of time adjustable direction, green 5s flickered in maxplus under debugging, simulation waveforms with t
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:113694
    • 提供者:礼拜
  1. trafficLight-verilog

    0下载:
  2. 交通灯状态机的实现,用verilog HDL编程,Xilinx ISE 6仿真,在实际电路中得到验证.-traffic lights to achieve the state machine, with verilog HDL programming, Xilinx ISE 6 simulation, the actual circuit have been tested.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:1533527
    • 提供者:王越
  1. EDATRAFFICVHDLLIGHT

    0下载:
  2. 交通灯的硬件描述语言设计,可以下载测试与仿真,通过EDA开发系统进行调试-traffic lights at the hardware descr iption language design, testing can be downloaded and simulation, through the development of EDA system debugging
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:100949
    • 提供者:sunjiacun
  1. Multisim_circle

    0下载:
  2. Multisim 8可以将不同类型的电路组成混合电路进行仿真,界面直观,操作方便。本文先研究Multisim 8的操作方法及其应用实例。之后分别根据交通灯系统和出租车计价器系统的设计要求提出其改进的系统实施方案。然后根据两系统的改进方案,分别设计其数据子系统和控制子系统,并画出实现的电原理图,其中部分模块用VHDL语言实现。最后在Multisim 8中进行仿真,验证两系统的改进方案均正确实现了各自设计要求中的诸项功能。-Multisim 8 will be different types of
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:7665547
    • 提供者:
  1. jiaotongdengcodes

    0下载:
  2. 实例制作的一个有关交通灯的VHDL代码,从各模块到顶层文件的代码一一列出,详细周到,附带仿真波形图和芯片管脚锁定的相关内容,绝对物超所值。-produced an example of the traffic light VHDL code, from the module to the top of the document sets out a code on January 1, thoughtful details, fringe simulation waveform map and
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:151936
    • 提供者:潘世雄
  1. trafficlight

    0下载:
  2. 仿真马路交通灯通过keilproteus进行实时调试-Simulation road traffic lights for real-time debugging keilproteus
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:39707
    • 提供者:王志刚
  1. jtd

    0下载:
  2. proteus仿真的单片机控制交通灯的例子。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:54000
    • 提供者:缘分相伴
  1. my

    0下载:
  2. 本人课程设计交通灯+数码管动态显示+仿真
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:27827
    • 提供者:陈康
  1. traffic

    0下载:
  2. 完整的VerilogHDL交通灯例程,已通过硬件仿真。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:34728
    • 提供者:xuping
  1. cross_lights

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4451
    • 提供者:dcy
  1. trafficwsdklk

    0下载:
  2. 1.设计目的 (1)设计交通灯控制器; (2)学习状态机的设计方法; (3)学习原理图、状态机等多种的设计方法进行混合设计; (4)熟练掌握器件设计输入、编译、仿真和编程的过程。 2.设计内容 位于十字路口的交通灯,在A方向和B方向各有红、黄、绿三盏灯,按所列顺序进行循环,交通灯循环顺序见表1所示。其中1表示灯亮,0表示灯灭。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:132023
    • 提供者:秦光
  1. 200791519481254253

    0下载:
  2. 用汇编语言写交通灯源程序加图形仿真,并测试通过
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:433874
    • 提供者:123
  1. TraficLamp

    0下载:
  2. keil的交通灯汇编程序和proteus的仿真文件!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:37503
    • 提供者:邱福双
  1. traffic

    1下载:
  2. 我的一个交通灯的课程设计,有论文、proteus功能仿真图以及程序原代码,有需要做课程设计的可以参考一下
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:235801
    • 提供者:韩性启
  1. traffic

    0下载:
  2. 利用Verilog实现交通灯控制 Quartus II平台实现仿真
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:145361
    • 提供者:许东滨
  1. DPJ

    0下载:
  2. 单片机制作交通灯的原程序及Proteus仿真图
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:27082
    • 提供者:王庆杰
  1. jiaotdc51

    0下载:
  2. 自己做的交通灯~~~用c编写的,图为Proteus的仿真图,有红、绿、黄三灯,带外部中断。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:97130
    • 提供者:姜康
  1. trafficlight_design_based_on_fpga

    1下载:
  2. 基于FPGA的交通灯的设计 有Verilog HDL 源码、仿真图与引脚配置图,已下载实现
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:408868
    • 提供者:任怀鲁
  1. 577d4aa3

    1下载:
  2. 交通灯课程设计:本设计是基于数字电路芯片完成的,内有Multisim仿真电路(已验证通过),具体设计说明书,基本思路采用74LS160十进制加法计数器来产生四种交通灯状态,计数器是由多功能计数器555产生的1Hz的秒脉冲驱动,希望能对你有所帮助。(Traffic light course design: This design is based on the digital circuit chip, with Multisim Simulation circuit (verified), th
  3. 所属分类:其他

    • 发布日期:2021-04-04
    • 文件大小:471040
    • 提供者:sc303030
« 1 2 3 4 5 67 8 9 10 11 ... 17 »
搜珍网 www.dssz.com