CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通灯 控制器

搜索资源列表

  1. jiaotongdeng

    0下载:
  2. 基于verilog的交通灯控制器设计 很实用的噢-Controller design based on the the verilog traffic lights
  3. 所属分类:Other systems

    • 发布日期:2017-11-22
    • 文件大小:2931
    • 提供者:nanqing
  1. vhdl--of--traffic-light

    0下载:
  2. 十字路口的交通灯vhdl控制程序,其中包括分频器、交通灯控制器和主程序三部分。-Crossroads of traffic lights the vhdl control procedures, including the three parts of the divider, traffic light controller and main program.
  3. 所属分类:Other systems

    • 发布日期:2017-11-12
    • 文件大小:5275
    • 提供者:仝侨
  1. EDA

    0下载:
  2. 1、5人表决器;2、乘法器设计;3、交通灯控制器。-1,5 voting machine 2, multiplier design 3, the traffic light controller.
  3. 所属分类:Other systems

    • 发布日期:2017-12-10
    • 文件大小:137891
    • 提供者:陈派派
  1. EDA

    0下载:
  2. EDA交通灯程序.学习利用计数器和状态机设计十字路口交通灯控制器。设计一个简单十字路口交通灯控制器。该控制器控制甲乙两道的红、黄、绿三色灯,指挥交通和行人安全通行。-EDA traffic lights program. Learning to take advantage of the counter and state machine design crossroads traffic light controller. Design a simple crossroads traffic
  3. 所属分类:File Formats

    • 发布日期:2017-12-01
    • 文件大小:877905
    • 提供者:xxy
  1. EDA

    0下载:
  2. EDA实验课的一个典型实验:交通灯控制器的实现,程序及仿真结果都有,仅供参考。-EDA experimental course of a typical experiment: the realization of the traffic light controller, procedures and simulation results are for reference only.
  3. 所属分类:Other systems

    • 发布日期:2017-11-19
    • 文件大小:432980
    • 提供者:陈坤
  1. traffic_lights

    0下载:
  2.  交通灯控制器控制红(r)、绿(g)、黄(y)三种不同颜色的交通灯,这三种不同颜色灯的亮、灭分别由三个定时器(timer1、timer2、timer3)控制;  当某个定时器工作时,它所控制的交通灯亮,直到设定的定时时间到(该定时器状态由’0’变’1’),交通灯跳转到另一种状态;  clk是脉冲控制端(图中未标出);reset是异步复位端,复位状态为红色交通灯亮;  输出端r、g、y分别表示三种颜色交通灯的亮、灭状态。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:662
    • 提供者:吴胜兵
  1. jiaotongdeng

    1下载:
  2. 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位
  3. 所属分类:Project Design

    • 发布日期:2015-05-18
    • 文件大小:274432
    • 提供者:唐远航
  1. traffic

    0下载:
  2. 一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块来显示。系统时钟选择时钟模块的1Hz时钟,黄灯闪烁时钟为1Hz,红灯15s,黄灯5s,绿灯15s。-A simple traffic light controller, traffic lights display module test box to display the traffic lights. System clock selection 1Hz clock module clock, flashing yellow clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1513796
    • 提供者:李建国
  1. jiaotongdeng

    0下载:
  2. FPGA 交通灯控制器 基于fpga 已经仿真验证请放心下载-FPGA traffic light controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:303083
    • 提供者:h
  1. traffic-light

    0下载:
  2. 交通灯控制器 (1)主干道通行(绿灯):支干道有车24秒;支路红灯,数码管实时显示倒计时的秒,秒计时的频率为1Hz 。 (2)主干道缓冲(黄灯):6秒,(不显示计数),秒计时的频率为1Hz 。 (3)支路通行(绿灯):20秒,主干道红灯,数码管实时显示倒计时的秒,秒计时的频率为1Hz 。 (4)支路缓冲(黄灯):6秒,不显示,秒计时的频率为1Hz 。 数码管采用动态显示。 其他: (1)晶振为12 MHz (2)采用CPLD 器件,为ALTERA 的EPM706
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:389404
    • 提供者:suyang
  1. traffic-light-controller

    0下载:
  2. 交通灯控制器源程序,使用VHDL编写,在系统上实验通过- The traffic light controller source code, the use of VHDL to write, on the system by experiment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:4332
    • 提供者:康乐
  1. traffic-light-control-verilog-code

    0下载:
  2. 交通灯控制器verilog代码,实现交通灯的控制-traffic light control verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:985
    • 提供者:徐以为
  1. project

    1下载:
  2. 优秀本科毕业设计,太阳能交通灯控制器的设计的全部代码-Outstanding graduate design, solar traffic light controller design all the code
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:52893
    • 提供者:jackonchang
  1. traffic-light-control-system

    0下载:
  2. 基于单片机的交通灯控制系统设计,本系统需要采用MSC-51系列单片机AT89C51作为中心器件来设计交通灯控制器-The design and implementation of MCU to complete traffic light control system
  3. 所属分类:source in ebook

    • 发布日期:2017-05-06
    • 文件大小:1105007
    • 提供者:阿萨德
  1. traffic-lights-design

    0下载:
  2. 交通灯控制器设计(汇编语言) 要求设计的交通灯控制器完成以下功能: ①按照交通灯变化规律控制灯(4组,每组3个)的变化。 ②显示器显示倒计时,最后5秒闪烁。 ③能用键盘修改各个方向红灯/绿灯时间。-Traffic light controller design (assembly language) to design a traffic light controller performs the following functions: ① variation accor
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:7453
    • 提供者:程梦婕
  1. jiaotongdeng-

    0下载:
  2. 十字路口交通灯,南北向为主干道,东西向为支道,每个道口安装一组交通灯:红、黄、绿。设计十字路口的交通灯控制器,使交通灯按状态1 状态2 状态3 状态4 的规律变化,循环不止。 状态1:东西向绿灯亮,南北向红灯亮,其他灯全灭,保持30 秒 状态2:东西向黄灯亮,南北向红灯亮,其他灯全灭,保持5 秒 状态3:东西向红灯亮,南北向绿灯亮,其他灯全灭,保持20 秒 状态4:东西向红灯亮,南北向黄灯亮,其他灯全灭,保持5 秒-Crossroads of traffic lights,
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-15
    • 文件大小:6602
    • 提供者:陈卓
  1. shusogndai

    0下载:
  2. 单片机交通灯课程设计任务目标 某十字路口,南北向为主干道,东西向为支道,每个道口安装一组交通灯:红、黄、绿。设计十字路口的交通灯控制器,使交通灯按状态1 状态2 状态3 状态4 的规律变化,循环不止。 状态-SCM traffic lights curriculum design mission objectives in a crossroads of north-south trunk road, the east-west road to support each crossing to
  3. 所属分类:Home Personal application

    • 发布日期:2017-04-17
    • 文件大小:48515
    • 提供者:wwwassadd
  1. TRAFFIC-LIGHT-CONTROL

    0下载:
  2. 交通灯控制器 output R1,R2 //--红灯输出 output Y1,Y2 //--黄灯输出 output G1,G2 //--绿灯输出-traffic light control
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:1110
    • 提供者:mechael
  1. jiaotongdeng

    0下载:
  2. 利用VHDL语言设计一个十字路口交通灯控制器,分成两个方向,六个灯。三个灯按合理的顺序亮灭。 两个方向的灯亮时间可以进行调节。-Using VHDL design of a traffic signal controllers, divided into two directions, six lights. Three lights blinking at a reasonable order. Time light can be adjusted in both directions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:169560
    • 提供者:李一马
  1. jiaotongdeng

    0下载:
  2. 交通灯以查询方式按下S2键启动交通灯控制器。主通道首先放行,绿灯亮15秒后,黄灯开始亮2秒,接着黄灯闪烁3次,每次一秒,接着红灯亮,主通道停止放行;次通道开始放行,绿灯亮5秒后,黄灯开始亮2秒,接着黄灯闪烁3次,每次一秒,次通道停止放行;依次循环。按下S3键交通灯控制器停止工作,回归“P.”状态。-Traffic Light
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:22729
    • 提供者:尹伟
« 1 2 3 4 5 6 7 89 10 11 12 »
搜珍网 www.dssz.com