CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通灯 vhdl

搜索资源列表

  1. trafficcontroller

    0下载:
  2. 基于VHDL语言的交通灯控制器源程序代码及附带图片等。-traffic controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:71749
    • 提供者:刘智虎
  1. ss

    0下载:
  2. Verilog i2c 实例 有点粗糙 用VHDL设计交通灯控制器.doc-sss
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:89793
    • 提供者:谭腾飞
  1. Figure_Models

    0下载:
  2. 用VHDL设计的基本数字逻辑电路,能实现交通灯、模数转换、数模转换等功能-VHDL design using the basic digital logic circuits, to achieve traffic light, ADC, DAC and other functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:46097
    • 提供者:zhuzhu
  1. jtd

    0下载:
  2. 交通灯 ADADDF VHDL-TRIFFIC LIGHT VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1055
    • 提供者:李楠
  1. experiment_9

    0下载:
  2. 交通灯的实现,利用VHDL进行编辑,交通灯的模拟。-Implementation of traffic lights, use of VHDL for editing, simulation of traffic lights.
  3. 所属分类:Document

    • 发布日期:2017-04-10
    • 文件大小:1270
    • 提供者:孙建勇
  1. ss

    0下载:
  2. 交通灯控制VHDL源代码,简洁明了,非常不错。-VHDL source code of traffic light control, concise, very good.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:788
    • 提供者:余力
  1. traffic_lights

    0下载:
  2. 使用VHDL编写的交通灯程序,主要分为分频模块、控制模块、显示模块-Use the traffic lights procedures, write VHDL mainly divided into frequency module, control module, display module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:130753
    • 提供者:杨子诺
  1. traffic

    0下载:
  2. 本程序是用VHDL语言设计的丁字路口的交通灯控制电路。红灯黄灯绿灯的时间分别是20s,5s,20s。-This program is designed with VHDL, the traffic light controlled T-junction circuit. Red yellow green time were the 20s, 5s, 20s.
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:340712
    • 提供者:aaaa
  1. traffic

    0下载:
  2. 采用VHDL语言编写的控制交通灯工作的程序。分为四个部分:1,分频器,2,计数并产生控制信号,3,交通灯信号产生,4,交通灯总体描述。点击lzh6.aws打开工作空间-VHDL language used to control traffic lights work procedures. Divided into four parts: 1, divider, 2, count and generates control signals, 3, traffic signal generatio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:20497
    • 提供者:李修函
  1. traffic

    0下载:
  2. 采用 EDA 技术,并应用目前广泛应用的VHDL 硬件电路描述语言,实现交通灯系统控制 器的设计。掌握使用VHDL 语言设计有限状态机的方法。-Using EDA, and applied widely applied to the VHDL language, hardware circuit to describe traffic control system Design. Master use VHDL language design method of finite state
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1072
    • 提供者: lijianchen
  1. danpianji.doc

    0下载:
  2. VHDL语言设计数字系统,VHDL是Very High Speed Integrated Circuit Hardware Descr iption Language 的缩写,意思是超高速集成电路硬件描述语言。本课程设计分析了现代城市交通控制与管理问题的现状,结合城市交通的实际情况阐述了交通灯控制系统的工作原理。编写了程序控制8255A可编程并行接口芯片,使红、绿、黄发光二极管按照十字路口交通信号灯的规律交替发光,模拟了交通信号灯简单的工作。-VHDL language design digit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:314519
    • 提供者:liang
  1. trafficlight_VHDL

    0下载:
  2. VHDL语言编写的交通灯代码,编译环境quaartus-Traffic lights VHDL language code, build environment quaartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:171531
    • 提供者:malikun
  1. trafficlight

    0下载:
  2. 基于VHDL的交通灯控制设计,功能: 1 主干道绿灯亮时,支干道红灯亮;支干道绿灯亮时,主干道红灯亮。二者交替允许通行,主干道每次放行35s,支干道每次放行25s。每次由绿灯变为红灯的过程中,通过点亮黄灯作为过渡,黄灯的时间为5s。 2 能实现正常的倒计时显示功能,即通过7段数码管对交通灯剩余时间进行倒计时显示。 3 能实现总体清零功能,计数器由初始状态开始计数,对应状态的显示灯点亮。 4 能实现特殊状态的功能显示,进入特殊状态时,主干道和支干道即东西、南北路口均显示红灯状态。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2208
    • 提供者:李名山
  1. jiaotongled

    0下载:
  2. 该源码用vhdl语言制作了一个简单的交通灯,方便大家学习-The source vhdl language produced by a simple traffic light, facilitate learning ~ ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:240976
    • 提供者:李永祥
  1. vhdl_TRAFFIC

    0下载:
  2. 十字路口 ,交通灯, VHDL , EDA,用MAX+PLUS2运行,-Intersections, traffic lights, VHDL, EDA, with the MAX+ PLUS2 run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:4626
    • 提供者:dongni
  1. jiaotongdeng

    0下载:
  2. 用VHDL语言实现交通灯的灯的转换,并用七段数码管实现当前剩余秒数-VHDL language with the lights of the conversion of traffic lights, and with seven segment digital tube to reach the current number of seconds remaining
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:182570
    • 提供者:summer
  1. ISE_lab14

    0下载:
  2. 采用EDA技术,并应用目前广泛应用的VHDL硬件电路描述语言,实现交通灯系统控制 器的设计。掌握使用VHDL语言设计有限状态机的方法。-With EDA technology and application of the widely used hardware descr iption language VHDL, to achieve traffic light system controller design. Master the use of VHDL language desig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:517682
    • 提供者:zhangsheng
  1. mtraffic

    0下载:
  2. 用VHDL编写的带报错和暂停控制功能的 交通灯,图形语言混编。 现代数字系统设计作业。-Written by VHDL error and pause control with traffic lights, graphics, mixed language. Modern digital system design work.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:245449
    • 提供者:yan
  1. vhdllight

    0下载:
  2. 用vhdl编写的交通灯程序-the traffic light programmed by using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:129349
    • 提供者:vivianwfw
  1. lastjiaotongdeng

    0下载:
  2. vhdl 交通灯源代码(特殊状态控制,数码管动态扫描,时间预置功能)-vhdl source code of traffic lights (special state control, the digital dynamic scanning, the time preset function)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:127571
    • 提供者:xtbbbbdx
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 18 »
搜珍网 www.dssz.com