CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通灯 vhdl

搜索资源列表

  1. traffic

    0下载:
  2. 用VHDL交通灯的实现,主干道红绿黄分别为40S20S5S,次干道红绿黄分别为20S5S,采用状态转换-Implementation of traffic lights with VHDL, red, green and yellow were the main road 40S20S5S, red, green and yellow secondary roads were 20S5S, using state transition
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:595402
    • 提供者:董亮
  1. FPGA

    0下载:
  2. sin函数 交通灯 加法器的vhdl代码 自写,参考-sin function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3784
    • 提供者:
  1. EDA-design-traffic-light

    0下载:
  2. 在quartus2的基础上,使用VHDL语言编写简易交通灯,描述十字路口的交通灯情况,分为主次通道,次通道没车,主干道一直绿灯,次干道有车,按正常灯亮。-In quartus2, based on the use of VHDL language simple traffic lights, traffic lights crossroads described, divided into primary and secondary channel, the second channel had
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1211
    • 提供者:易为
  1. led

    1下载:
  2. 基于quartus II 软件用vhdl语言写的交通灯实验 源代码、最终生成文件全程奉献-Quartus II software-based language used to write vhdl traffic light test source code, the resulting file full dedication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:451435
    • 提供者:大毛
  1. Traffic-light-design

    0下载:
  2. (1) 能显示十字路口东西、南北两个方向的红、黄、绿的指示状态; • 用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯,能实现正常的倒计时功能; • 用两组数码管作为东西和南北方向的到计时显示,显示时间为红灯55秒、绿灯50秒、黄灯5秒; *(2) 按S1键后,能实现特殊状态功能: • 显示到计时的两组数码管闪烁; • 计数器停止计数并保持在原来的状态; • 东西、南北、路口均显示红灯状态; • 特殊状态解
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:10245
    • 提供者:薛静
  1. trafficlights

    0下载:
  2. vhdl课程设计的交通灯完整可执行程序,最终评为优秀-vhdl program designed to complete the traffic lights executable program, and ultimately as good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:228045
    • 提供者:苏亮亮
  1. TRAFFIC_LIGHTS

    0下载:
  2. 用可编程逻辑器件实现十字路口交通灯的VHDL环境下的,源程序。-Programmable logic device to achieve traffic light intersection of VHDL environment, the source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:772
    • 提供者:lin deng hua
  1. jtd

    0下载:
  2. 基于VHDL设计城市交通十字路口交通灯源代码设计-traffic light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:236018
    • 提供者:胡鹏
  1. traffic_light

    0下载:
  2. 基于VHDL的交通灯,状态机实现,FPGA参考小程序-VHDL-based traffic lights, the state machine to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3503
    • 提供者:mend
  1. TrafficLight

    0下载:
  2. VHDL开发的数字交通灯控制器,项目实训内容;-The development of digital traffic light controller VHDL, project training content
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1218224
    • 提供者:opwt
  1. jiaotongdeng

    0下载:
  2. 基于VHDL的十字路口交通灯控制系统,包括系统结构流程说明,仿真结果分析和完整的程序,可运行-Based on VHDL crossroads traffic lights control system, including system architecture process analysis of simulation results and the complete program can be run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:223802
    • 提供者:hyx
  1. 123123

    0下载:
  2. VHDL课程设计,交通灯的项目文件,已仿真通过。-VHDL course design, the traffic lights project file, already simulation through the.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:636210
    • 提供者:邓亚风
  1. FPGA

    1下载:
  2. FPGA交通灯说明: 1. 本程序使用VHDL加原理图方式设计而成。 2. 实验时,使用Quartus II软件完成了工程管理与下载验证,使用max+plus II软件进行了功能仿真。 3. 由于实验当时对原理图文件缺乏足够的认识,导致原原理图以及仿真输出文件已经丢失。现在的工程 RTL视图以及仿真输出波形均是在Quartus II软件下得到的。-FPGA traffic lights shows:1procedures for the use of the VHDL sch
  3. 所属分类:VHDL编程

    • 发布日期:2018-04-18
    • 文件大小:455885
    • 提供者:WangQunfeng
  1. jiao-tong-deng

    0下载:
  2. 用vhdl语言编写的交通灯程序,分主干道和支干道-Traffic lights program written in vhdl language , sub- main roads and branch roads。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:910
    • 提供者:丫丫
  1. Traffic_lights

    0下载:
  2. 基于VHDL语言的交通灯程序。有车辆检测程序。-Based on VHDL Language traffic light program. Vehicles testing procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:427966
    • 提供者:shaojun
  1. The-traffic-lights--design

    0下载:
  2. 基于VHDL语言实现的交通灯控制电路的设计及其仿真-Based on VHDL language implementation of traffic light control circuit design and its simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:31036
    • 提供者:刘海
  1. jiaotongdeng11

    0下载:
  2. 交通灯设计方案 基于VHDL的红绿黄交通灯控制系统-Traffic light design Based on the VHDL red green and yellow traffic light control system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:149330
    • 提供者:何雨
  1. jtd

    0下载:
  2. 用VHDL编写的智能交通灯控制器,使用的是状态机机制实现状态的转换-Prepared using VHDL intelligent traffic lights controller, using a state machine mechanism to realize the conversion of the state
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1826816
    • 提供者:刘星
  1. trafficlight

    0下载:
  2. 基于VHDL的十字路口交通灯控制系统设计与实现,定时器模块由25S、5S、20S三个定时器组成,分别确定相应信号灯亮的时间。三个定时器采用以秒脉冲为时钟的计数器实现。eg、ey、er分别是三个定时器的工作使能信号,tm25、tm5、tm20是三个定时器的计数结束指示信号。 控制模块是对系统工作状态的转换进行控制,根据交通规则可得系统状态转换情况。ar、ay、ag br、by、bg分别表示由控制器输出的A道和B道的红、黄、绿信号灯亮的时间;eg、ey、er分别表示由控制器输出的控制25S、5S
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:185135
    • 提供者:蔡利波
  1. jiaotd

    0下载:
  2. 用vhdl编的交通灯程序。。1.正常情况下交叉路口的轮流通行(主道3个LED红、黄、绿控制直行,支道3个LED红、黄、绿);并要求主干道每次至少放行30秒;支干道每次至多放行20秒; 2.每次绿灯变红灯,要求黄灯先亮5秒钟。 3.特殊情况控制通行方式控制,用按键开关K1控制主道通行,支道禁行,K2控制支道通行,主道禁行,特殊情况控制通行放行。 4.有紧急情况时用按键开关K0控制两道均为红灯; 5.4位LED数码管能够显示双直行方向绿灯和红灯的等待时间;-Vhdl series o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:387990
    • 提供者:jackychen
« 1 2 ... 9 10 11 12 13 1415 16 17 18 »
搜珍网 www.dssz.com