CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通灯 vhdl

搜索资源列表

  1. VHDL

    0下载:
  2. 2人抢答器 简易循环彩灯(红灯3s,绿灯2s,黄灯1s) 交通灯-2 Responder simple cycle lights (red 3s, green 2s, yellow 1s) traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:149688
    • 提供者:yu
  1. nclight

    0下载:
  2. 简单的交通灯VHDL程序设计,自动进行切换。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:919
    • 提供者:LS
  1. VHDL

    0下载:
  2. vhdl交通灯程序,包括波形测试文件和交通灯控制文件。-vhdl traffic light procedures, including wave test file and traffic light control file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:3529
    • 提供者:atom wang
  1. vhdl

    0下载:
  2. 交通灯控制 频率计case when语句 vhdl硬件描述语言编写-Vhdl traffic light control hardware descr iption language of transformation to achieve control of traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1597
    • 提供者:damon
  1. 7Seg---LED

    0下载:
  2. VHDL设计实验,实现VHDL设计控制交通灯-VHDL design of experiments, designed to control traffic lights to achieve VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:399945
    • 提供者:nick
  1. vhdl

    0下载:
  2. 交通灯的控制执行与拐弯基于VHDL语言的交通灯控制器设计-Traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:674
    • 提供者:张然
  1. Traffic-Light-Control-VHDL

    0下载:
  2. 实现东西南北四向交通灯控制。。1.东西主干道、南北支干道方向各有一组红,黄,绿灯用于指挥交通,主干道东西方向红、黄、绿灯的持续时间分别为30s,5s,50s;支干道南北方向红、黄、绿灯的持续时间分别为50s,5s,30s。 2.当有紧急情况(如消防车)时两个方向均为红灯亮,计时停止,数据清零,当特殊情况结束后,控制器恢复原来状态,正常工作。 3.以倒计时方式显示两个方向允许通行或禁止通行的时间。 -traffic light controller..VHDL ..Altium Desig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:838525
    • 提供者:陈飞
  1. The-traffic-light-controller-VHDL

    0下载:
  2. 基于VHDL的交通灯控制器设计,红灯45秒,黄灯5秒,绿灯40秒,运用状态机原理-The traffic light controller design based on VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:104495
    • 提供者:林立强
  1. VHDL-traffic-light

    0下载:
  2. 本科做的课程设计 用vhdl 语言 设置交通灯的闪烁-use vhdl to set traffic light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:233525
    • 提供者:沈志鑫
  1. Subway-automatic-ticket-system-VHDL

    0下载:
  2. VHDL的课程设计,包括地铁自动售票以及交通灯等设计-VHDL curriculum design, including a subway ticket and traffic lights design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:562645
    • 提供者:陈鹏
  1. light

    0下载:
  2. 道路交通灯VHDL源代码,红黄绿三种交通灯四个路口的程序设计。下载到实验板上实现交通灯的切换-Road traffic lights VHDL source code, four red, yellow, and green traffic light intersections program. Downloaded to the experimental realization of traffic lights switch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:437104
    • 提供者:ivy
  1. 13-traffic

    0下载:
  2. 这是一个成功的交通灯VHDL和Verilog源代码,已在DH-33001开发板上调试成功。-This is a successful traffic lights VHDL and Verilog source code, in the DH-33 001 development board debugging.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:823636
    • 提供者:hjs
  1. vhdl

    0下载:
  2. 交通灯的vhdl实现,实现完全交通等功能,可供调试-Traffic lights to achieve the VHDL, to achieve complete traffic and other functions, can be used for debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1148409
    • 提供者:liuyang
  1. VHDL-traffic-light

    0下载:
  2. VHDL源代码以及仿真结果,全面的 交通灯 (红绿灯)系统制作介绍,并附有代码注释-VHDL source code and simulation results, a comprehensive traffic lights (red light) system is introduced, and a code Notes
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-30
    • 文件大小:115457
    • 提供者:文子
  1. VHDL

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,在Altera公司的EDA软件平台MAX+PLUSⅡ环境下通过了编译、仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。-And select and use Descr iption Language applying broader VHDL hardware circuit at present in capital being designed, the hardware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:265860
    • 提供者:陈金峰
  1. traffic-light-controller-VHDL

    0下载:
  2. vHDL实现 自顶向下的 交通灯控制器 -VHDL program implement for traffic light controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1018172
    • 提供者:whb
  1. VHDL(traffic-lights)

    0下载:
  2. 设计十字路口交通灯(原创设计论文)本文利用VHDL语言,在QuartusⅡ环境下,设计了两个相邻十字路口处的交通灯系统。该交通灯系统运行规律模仿实际十字路口交通灯,两处相邻十字路口交通灯是联动的,两者南北方向相差20秒。每个十字路口处有4个灯分别指示左转、直行、右转车辆以及人行道,灯也分为红色、黄色和绿色,并且配有时间显示。同时,该交通灯系统可根据实际道路情况调整通行时间。当系统出现故障不能正常显示,则全部灯变为黄灯闪烁以提醒车辆及行人注意安全。-Design crossroads traffi
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:605550
    • 提供者:
  1. vhdl

    0下载:
  2. vhdl状态机设计,文件简单详细易懂,可以使用在交通灯,文件配置等系统上。-vhdl state machine design, simple, detailed and easy to understand, you can use the traffic light system file configuration file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:4625
    • 提供者:张博天
  1. VHDL--traffic-light-reports-and-code

    0下载:
  2. 用VHDL实现交通灯项目,并用FPGA验证!-The traffic light project using VHDL and FPGA verification!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:1811807
    • 提供者:一个好人
  1. vhdl-complement

    0下载:
  2. vhdl交通灯控制电路实现,和LCNT8实现,程序为单进程,可读性好,技巧性高。-vhdl traffic light control circuit and LCNT8 achieve the program as a single process, readability skill.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:825994
    • 提供者:hubob
« 1 2 3 4 5 67 8 9 10 11 ... 18 »
搜珍网 www.dssz.com