CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 伪随机

搜索资源列表

  1. prs16

    0下载:
  2. 伪随机序列产生veirlog 16位以及测试程序-Pseudo-random sequence generation veirlog 16 bit and test procedures
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1136
    • 提供者:于玮
  1. sujishu

    0下载:
  2. 产生任意[a,b]区间内均匀分布伪随机整数序列程序源码-Produce any [a, b] interval uniformly distributed pseudo-random sequence of integers
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-03
    • 文件大小:16696
    • 提供者:郭立杰
  1. randHe

    0下载:
  2. 基于Henon映射的混沌伪随机序列发生器,用MATLAB7.1实现-Henon mapping based on Chaotic Pseudo-random sequence generator, to achieve with MATLAB7.1
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:700
    • 提供者:谭德
  1. file_c8

    0下载:
  2. 第一个是CDMA伪随机序列的自相关。第二个是CDMA系统。-The first is the pseudo-random sequence CDMA autocorrelation. The second is the CDMA system.
  3. 所属分类:matlab

    • 发布日期:2017-04-05
    • 文件大小:1513
    • 提供者:
  1. randomcreater

    0下载:
  2. 伪随机信号发生器,可生成PN63,PN511序列 ,调试通过,可直接使用,也可以稍微修改用在其他伪随机序列生成-Pseudo-random signal generator, can generate PN63, PN511 sequence, through debugging, can be used directly, or you can use a little change in other pseudo-random sequence generation
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:747
    • 提供者:xu
  1. awgn

    3下载:
  2. 高斯白噪声的VHDL实现。伪随机序列只能输出均匀噪声,需要打乱相关性。-awgn in vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-18
    • 文件大小:1298
    • 提供者:terry.ding
  1. PN4

    0下载:
  2. 语言:VHDL 功能:该PN4序列的特点为将一个4位序列的前两位取异或,再让序列左移一位,用异或的结果作为序列的最后一位。序列周期是15,即15位伪随机序列。其中包括序列的产生模块和检测模块。对于误码检测,首先捕获相位。然后,规定测试的码的总个数,统计这些码中有多少个不能满足PN序列特点的,用计数器统计个数。如果发现误码过多,可能是相位失调,重新捕获相位,再进行误码检测。 仿真工具:modelsim 综合工具:quartus -Language: VHDL function:
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4429
    • 提供者:huangjiaju
  1. weisuijimoxin

    2下载:
  2. 用于研究轮轨不平顺关系时的伪随机模型计算程序-Used to study the relationship between wheel and rail irregularities pseudo-random simulation program
  3. 所属分类:Windows编程

    • 发布日期:2014-02-24
    • 文件大小:189302
    • 提供者:jimmy
  1. prbs

    0下载:
  2. 伪随机二进制序列发生器的Verilog源码,带测试文件,并在FPGA开发板上成功验证-Pseudo-random binary sequence generator Verilog source code, with a test file, and successfully verified in FPGA development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:50491392
    • 提供者:wang
  1. shiyanmm11

    0下载:
  2. 伪随机序列与扩频通信方面的实验程序,虽然只有一个程序但是有通讯实现的全过程-Pseudo-random sequence spread spectrum communications with the experimental procedure, while only a program but the whole process of communication to achieve
  3. 所属分类:matlab

    • 发布日期:2017-05-08
    • 文件大小:1813609
    • 提供者:jack
  1. kuopin

    0下载:
  2. 直接序列扩频系统(DS,Direct Sequence)又称为直接序列调制系统或伪噪声系统(PN 系统),简称为直扩系统,是目前应用较为广泛的一种扩展频谱系统。要传送的信息经伪随机序列编码后对载波进行调制。在发信端越冬用扩频序列去扩展信号的频谱,在收信端,用相同的扩频友序列进行解扩,将展宽的频谱扩展信号还原成原始信号。因为伪随机序列的速率远大于要传送信息的速率,所以受调信号的频谱宽度将远大于要传送信息的频谱宽度,帮称之为扩频-Direct sequence spread spectrum (DS
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:960
    • 提供者:song
  1. 1

    0下载:
  2. 利用伪随机序列理论产生均匀分布的随机序列 进而产生高斯白噪声 -Theory of pseudo-random sequence generated using uniformly distributed random sequence and then generate Gaussian white noise
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1033
    • 提供者:二哥
  1. Pshesis

    0下载:
  2. 基于 单片机 的伪随机多频广谱信号合成技术-Pseudo-random based on single chip multi-frequency spectrum signal synthesis
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:423706
    • 提供者:fon666
  1. ithm

    0下载:
  2. 混沌伪随机序列均匀化普适算法的FPGA实现-Homogenization of chaotic pseudo-random sequence FPGA realization of the universal algorithm
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:603277
    • 提供者:kai2060
  1. tongxinyuanli

    0下载:
  2. (1)、设计伪随机码电路:产生八位伪随机序列(如M序列、Gold序列等); (2)、了解D/A的工作原理及使用方法,将伪随机序列输入D/A中(如DAC0808),观察其模拟信号的特性; (3)、分析信号源的特点,使用EWB软件进行仿真; -(1), pseudo-random code circuit design: eight pseudo-random sequence generation (such as M sequences, Gold sequences, etc.)
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:233296
    • 提供者:张阳
  1. chaosushuchaxun

    0下载:
  2. 利用一种伪随机数生成的新方法 ———超素数法,在单片机的P1.6口产生周期为498的伪随机序列。-Pseudo-random number generator using a new method--- law of prime numbers, generated in the microcontroller ports P1.6 period of 498 pseudo-random sequence.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:14633
    • 提供者:wangliping
  1. snow

    0下载:
  2. SNOW 加密算法的c语言实现,能产生高质量的伪随机序列。-the software implementation of SNOW-a word-oriented stream cipher.
  3. 所属分类:加密解密

    • 发布日期:2013-02-22
    • 文件大小:2167
    • 提供者:
  1. base-on-MATLAB_Simulink

    0下载:
  2. 基于MATLAB的仿真,伪随机序列的应用和产生。对于学习和工程应用有帮助。-MATLAB-based simulation, the application of pseudo-random sequences and generation. For help learning and engineering applications.
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:927524
    • 提供者:赖忠华
  1. PN-(2)

    0下载:
  2. 伪随机序列FPGA 通过仿真 M3-Pseudo-random sequence M3000 FPGA simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:217051
    • 提供者:wyq
  1. 123

    1下载:
  2. (1) 假定每个记录有下列数据项:电话号码、用户名、地址。 (2) 一是从数据文件old.txt(自己现行建好)中读入各项记录,二是由系统随机产生各记录,并且把记录保存到new.txt文件中以及显示到屏幕上,记录条数不要少于30,然后分别以电话号码和用户名为关键字建立哈希表。 (3) 分别采用伪随机探测再散列法和再哈希法解决冲突。 (4) 查找并显示给定电话号码的记录;查找并显示给定用户名的记录。 (5) 将没有查找的结果保存到结果文件Out.txt中,显
  3. 所属分类:Data structs

    • 发布日期:2017-04-05
    • 文件大小:6255
    • 提供者:vello
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 22 »
搜珍网 www.dssz.com