CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 伪随机

搜索资源列表

  1. watermark

    0下载:
  2. 利用分数阶去水印,嵌入伪随机序列,提高了峰值信噪比-Fractional use to watermark embedding pseudo-random sequence, improve the PSNR
  3. 所属分类:Special Effects

    • 发布日期:2017-05-03
    • 文件大小:713124
    • 提供者:yingxu
  1. xiandaimimaxue

    0下载:
  2. 了解现代密码学的基础知识,其中包括古典密码学,单向函数,伪随机序列生成器,序列密码,分组密码,公钥密码学。这是大学现代密码学的课程内容,从第一章至第九章,都较为基础。-Knowledge of modern cryptography, including classical cryptography, one-way function, pseudo random sequence generator, sequence cipher, block cipher, public key cryp
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-22
    • 文件大小:6186626
    • 提供者:米儿
  1. T5Hash

    0下载:
  2. 数据结构,哈希表应用,可以从文件中读取哈希表,并可以使用伪随机探测再散列法建立哈希表,链地址法建立哈希表-hash application
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-07
    • 文件大小:1184334
    • 提供者:朱子庆
  1. dierci

    0下载:
  2. 2011年电赛e题信号产生程序 产生10kbit/s -100Kbit/s的m序列 以及一个伪随机序列-M sequence 2011 CEC signal generator generates e title 10kbit/s - 100Kbit/s, and a pseudo-random sequence
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8398941
    • 提供者:张宏达
  1. M-xulie

    0下载:
  2. 利用本原多项式产生m序列 ,m序列是最长线性移位寄存器序列的简称,是一种伪随机序列、伪噪声(PN)码或伪随机码。可以预先确定并且可以重复实现的序列称为确定序列 既不能预先确定又不能重复实现的序列称随机序列 不能预先确定但可以重复产生的序列称伪随机序列。-m xulie
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:459031
    • 提供者:van
  1. M_ERR

    0下载:
  2. 伪随机序列与误码检测 工程文件 源代码 Quartus II仿真 结果截图-Pseudo-random sequence and error detection project file source code Quartus II simulation screenshot
  3. 所属分类:source in ebook

    • 发布日期:2017-05-13
    • 文件大小:2951825
    • 提供者:董君
  1. M_ACTION1

    0下载:
  2. 使用fpga实现任意长度,任意方程的伪随机系统码-Using FPGA to achieve arbitrary length, any equation of the pseudo random system code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:420909
    • 提供者:wuxiaoyong
  1. pseudo-random-number-VHDL

    0下载:
  2. 伪随机序列发生器的vhdl软件,有m序列和gold序列的算法-pseudo random number generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:46228
    • 提供者:gone
  1. zong

    0下载:
  2. (1) 数据源:随机产生752*8bit作为数据源 (2) 按照DTMB的能量扩散初始相位和生成多项式来产生伪随机数据并对原始数据源进行加扰 (3) 加扰后的数据直接进行解扰,观察误码率的值,验证加扰算法 (4) 按照DTMB标准构造BCH(1023,1013)来实现BCH(762,752)信道编码器和解码器,并观察其误码率是否为0来验证其正确性。 (5) 最后把能量扩散和BCH编码级联,接收端把BCH解码和解扰级联,观察其误码率,验证正确性。 -(1) Source: ra
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2318
    • 提供者:张志勋
  1. sswcsz3

    1下载:
  2. VHDL实现猜数字游戏,北邮数电实验,功能齐全,有伪随机-VHDL realization guessing game, BUPT number of electric experiment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-02
    • 文件大小:1363968
    • 提供者:尚威
  1. cum3x

    0下载:
  2. 一个伪随机序列的三阶累积量,在许多通信领域可以用到,他是用matlab编写的-A third-order cumulant pseudo-random sequence, can be used in many fields of communication, he is using matlab
  3. 所属分类:Voice Compress

    • 发布日期:2017-04-13
    • 文件大小:1675
    • 提供者:追逐通信
  1. Ambigures

    0下载:
  2. 生成伪随机序列调相的回波信号的速度模糊函数-generate M sequence echo signal s ambigures function
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1501
    • 提供者:chen
  1. OOK_TEST

    0下载:
  2. 此代码实现了2ASK的调制解调,其中有九位伪随机信号作为信号源,调制时钟可调。-The code is for 2ASK modulation and demodulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2957182
    • 提供者:luo
  1. m_sequence_mod

    0下载:
  2. 伪随机序列,m序列发生器,可灵活配置抽头文件,已经仿真通过-m SEQ MODULE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:896
    • 提供者:
  1. PN_seq_analysis

    0下载:
  2. 对伪随机序列进行理论分析,对比樊昌信—通原(第六版)的相关理论知识,对于PN序列的产生进行了深入细致的理论分析,便于初学者更好地掌握PN序列的产生原理。-Pseudo-random sequence of theoretical analysis, comparative Fan Changxin- through original (sixth edition) related to theoretical knowledge, for generating PN sequences dept
  3. 所属分类:Communication

    • 发布日期:2017-04-30
    • 文件大小:217223
    • 提供者:crazy
  1. M_generation

    0下载:
  2. 伪随机序列发生器,即M序列发生器,VHDL语言完成,已仿真通过。-Pseudo-random sequence generator, VHDL language completed, through simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2301550
    • 提供者:hbxgwjl
  1. PNdemo

    0下载:
  2. PN序列发生器,产生伪随机序列的程序。 程序产生的伪随机序列可以用于建立数据源模型。-PN sequence generator, pseudo-random sequence generator. Pseudo-random sequence generated by the program can be used to set up a data source model.
  3. 所属分类:matlab

    • 发布日期:2017-04-12
    • 文件大小:693
    • 提供者:顾正文
  1. shuiyin

    0下载:
  2. 可采用一段伪随机序列来控制水印嵌入位置,matlab代码-It may be a period of pseudo-random sequences to control the watermark embedding position, matlab codes
  3. 所属分类:Other systems

    • 发布日期:2017-05-01
    • 文件大小:14746
    • 提供者:李小龙
  1. PNcode

    0下载:
  2. 伪随机序列产生代码,使用线性移位寄存器的形式原理来产生-Pseudo-random sequence generation code, use the form principle of linear shift register to generate
  3. 所属分类:source in ebook

    • 发布日期:2017-04-12
    • 文件大小:1358
    • 提供者:王伟
  1. SHIFT4_Parallel-input-serial-output

    0下载:
  2. 4位串行输入并行输出移位寄存器和移位寄存器产生伪随机序列的源代码以及相关分析-Four serial input and parallel output shift register and shift register of the source code of pseudo-random sequence and correlation analysis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:791330
    • 提供者:FANFAN
« 1 2 ... 12 13 14 15 16 1718 19 20 21 22 »
搜珍网 www.dssz.com