CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 伪随机

搜索资源列表

  1. stx_cookbook.zip

    1下载:
  2. Altera公司高端FPGA高级综合指导手册,包括:算术运算单元,浮点处理技巧,数据编码格式转换,视频处理,仲裁逻辑,多路选择,存储逻辑,计数器,通信逻辑,循环冗余校验,随机和伪随机函数,加密和同步等编码风格和技巧;,advanced synthesis cookbook for Altera high-end FPGA(Stratix),incuding coding style and design tricks for arithmetic,floating points oper
  3. 所属分类:software engineering

    • 发布日期:2017-03-27
    • 文件大小:962193
    • 提供者:刘易
  1. Verilog_code_for_AWGN.rar

    1下载:
  2. verilog实现awgn信道噪声的代码,支持可变的信噪比。利用移位寄存器来实现伪随机序列。,verilog code for implementation of awgn channel noise. support variable snr. use LSFR to implement the pseudo random sequence.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-02-08
    • 文件大小:10555272
    • 提供者:xiejin
  1. Pseudo-random_97-iter

    0下载:
  2. 伪随机采样,97小波迭代收缩压缩传感,效果不错,方法简单-Pseudo-random sampling, iterative shrinkage of wavelet compression sensor 97, the effect is good, simple
  3. 所属分类:Special Effects

    • 发布日期:2017-04-10
    • 文件大小:1691467
    • 提供者:赵爱罡
  1. xuliefenxi.rar

    0下载:
  2. 伪随机序列相关性、功率谱、列数以及频数等的分析,Analysis of pseudo-random sequence
  3. 所属分类:matlab

    • 发布日期:2017-01-10
    • 文件大小:1303
    • 提供者:mumu
  1. daimahekuangtu

    3下载:
  2. ,用MATLAB实现快跳频通信系统的仿真。主要应用了SIMULINK和COMMUNICATION BLOCKETS两个模块。整个设计包括了信源产生部分、发送部分、跳频调制部分、信道部分、接收部分和结果分析部分共六个模块,核心技术是伪随机序列的产生和频率合成器的设计,而关键技术是收发两端的伪随机码元的同步。伪随机码的产生用S-函数编程来开发自己的SIMULINK模块。同步的实现是收发两端采用相同的扩频脉冲触发。而且在设计中每个模块都采用了模块封装技术,从而简化了框图结构-Using MATLAB
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:68151
    • 提供者:笑笑生
  1. lfsr

    0下载:
  2. 此实验介绍了伪随机序列的产生原理,并用verilog语言将其编码实现,有详细的代码备注-This experiment introduces the principle of pseudo-random sequence and its encoded with the verilog language implementation, a detailed code Notes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:76837
    • 提供者:飞扬奇迹
  1. gen_displayer

    0下载:
  2. 基于线性反馈移位寄存器电路,并结合FPGA 的特有结构,一种简捷而又高效的伪随机序列产生方法-The Implementation and Research on Pseudo-Random Number Generators with FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2150
    • 提供者:王晓飞
  1. CC1100FHSS071102

    0下载:
  2. cc1100 902M-928M 跳频 含伪随机序列产生,FCC PART15-cc1100 902M-928M hopping with pseudo-random sequence generated, FCC PART15
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:90200
    • 提供者:tomyao
  1. localRand

    0下载:
  2. 常用随机数发生器,C实现 /* * 文件包含了6个函数,它们能产生符合相应分布的规律的随机数: * GenUniformRnd : 产生一个随机数,符合均匀分布。(伪随机序列) * GenBernoulliRnd : 产生一个随机数,符合伯努利分布。 * GenBinomialRnd : 产生一个随机数,符合二项分布。 * GenPoissonRnd : 产生一个随机数,符合泊松分布。 * GenExponentRnd : 产生一个随机数,符合指数分布。
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:1139
    • 提供者:lo
  1. lfsr

    0下载:
  2. 伪随机序列产生器-线性反馈移位寄存器,Verilog HDL 原代码。-Pseudo-random sequence generator- linear feedback shift register, Verilog HDL source code.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-22
    • 文件大小:883
    • 提供者:李辛
  1. HASH

    0下载:
  2. 【问题描述】 针对某个集体(比如你所在的班级)中的同学联系电话设计一个哈希表,使得平均查找长度不超过R,完成相应的建表和查表程序。 【基本要求】 (1) 假定每个记录有下列数据项:电话号码、用户名、地址。 (2) 一是从数据文件old.txt(自己现行建好)中读入各项记录,二是由系统随机产生各记录,并且把记录保存到new.txt文件中以及显示到屏幕上,记录条数不要少于30,然后分别以电话号码和用户名为关键字建立哈希表。 (3) 分别采用伪随机探测再散列法和再哈希法解决冲突。
  3. 所属分类:File Operate

    • 发布日期:2017-05-10
    • 文件大小:16193
    • 提供者:hhjlele
  1. PRBS

    1下载:
  2. 伪随机序列PRBS(M序列)的产生文件,matlab 语言开发,适合于系统辨识的激励信号-Pseudo-random sequence PRBS (M series) and generate the file, matlab language development, incentives for system identification signal
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:11405
    • 提供者:kpg
  1. 123dfa23

    0下载:
  2. M伪随机序列生成器算法 这个Matlab算法用于生成二元、三元及五级的,m序列。可以用于直接扩频通信等 系统中的,伪随机序列生成器。-M pseudo-random sequence generator algorithm for the Matlab algorithm is used to generate binary, ternary, and five of the, m sequence. Can be used to direct spread spectrum commu
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:3681
    • 提供者:adu
  1. LFSR

    1下载:
  2. verilog实现的8阶伪随机序列发生器,文件包含了三种主要模块:控制模块,ROM模块,线性反馈移位寄存器(LFSR)模块。已经通过modelsim仿真验证。-verilog to achieve 8-order pseudo-random sequence generator, the file contains three main modules: control module, ROM modules, a linear feedback shift register (LFSR) mo
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-23
    • 文件大小:870339
    • 提供者:风影
  1. ffcsr

    0下载:
  2. 伪随机序列产生器-filtered 代进位反馈移位寄存器,verilog hdl 原代码。-Pseudo-random sequence generator-filtered on behalf of binary feedback shift register, verilog hdl original code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2054
    • 提供者:李辛
  1. ffcsr

    0下载:
  2. 伪随机序列产生器-filtered 代进位反馈移位寄存器,matlab 原代码。-Pseudo-random sequence generator-filtered on behalf of binary feedback shift register, matlab source code.
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:1392
    • 提供者:李辛
  1. sort_zjz044100134

    1下载:
  2. 一问题描述 设计一个测试程序比较几种内部排序算法的关键字比较次数和移动次数以取得直观感受。 二 基本要求 [1] 对起泡排序、直接排序、简单选择排序、快速排序、希尔排序、堆排序算法进行比较 [2] 待排序的元素的关键字为整数。其中的数据要用伪随机产生程序产生[如10000个],至少用5组不同的输入数据做比较,再使用各种算法对其进行排序,记录其排序时间,再汇总比较。 [3] 界面友好,易与操作。采用菜单方式进行选择。 [4] 选做内容 ① 对不同表长进行比较
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-30
    • 文件大小:683047
    • 提供者:xuqijun
  1. weisuiji

    1下载:
  2. 伪随机序列的产生,伪随机序列的自相关函数等-Pseudo-random sequence generation, pseudo-random sequence of auto-correlation function, etc.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-11
    • 文件大小:1266
    • 提供者:gavin161
  1. dieharder-2.6.24

    1下载:
  2. Dieharder是一个 测试一序列伪随机数的随机性能的测试套件,包括:生日间隔测试,在一个字节流中计算1的测试,最短距离测试,随机范围测试,和重叠测试,跑上测试,跑下测试,双骰子测试等等 -Dieharder is a random number generator (rng) testing suite.It includes,Birthday spacings test,, Overlapping permutations test, Ranks of matrices test,
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-02
    • 文件大小:835896
    • 提供者:OXygen
  1. send

    0下载:
  2. 伪随机码,运用语音发射,噪声为发射信号,音乐为噪声信号。-Pseudo-random code, the use of voice-launch, launch signal noise, music for the noise signal.
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:45684
    • 提供者:sh
« 1 2 3 4 5 6 78 9 10 11 12 ... 22 »
搜珍网 www.dssz.com