CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 分频器 vhdl

搜索资源列表

  1. 32fenpinqi

    0下载:
  2. 这是用VHDL语言写的32位分频器的程序,可直接运行,看结果,欢迎使用。多指正,交流。-This is written in VHDL 32 dividers procedures can be run directly see the results, welcomed the use. More correct exchange.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:12693
    • 提供者:刘彦平
  1. freqcntr

    0下载:
  2. 分频器 几次分频欧次分频 vhdl 语言实现-several hours, frequency dividers Europe subregional frequency VHDL Language
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8401
    • 提供者:hjj
  1. FPGAprogram2

    0下载:
  2. 半整数分频器电路的VHDL源程序,供大家学习和讨论。 -half-integer frequency divider circuit VHDL source code for all learning and discussion.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3379
    • 提供者:许嘉
  1. 399

    0下载:
  2. 用VHDL编写的8位全加器,数字分频器等程序-VHDL prepared by the eight All-Canadian, digital dividers procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:570095
    • 提供者:骷髅
  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. clk_div_16

    0下载:
  2. 利用VHDL语言编写的一个16分频器,另外可以在程序中修改为任意2N的分频器-use VHDL prepared a 16 dividers, Also in the revision process to be arbitrary 2 N Divider
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:25501
    • 提供者:黎飞飞
  1. clk_div3

    0下载:
  2. vhdl语言写的基数分频器,多平台,通过MODESIM仿真-vhdl language to write the base dividers, multi-platform, through simulation MODESIM
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:39431
    • 提供者:xiaoshichang
  1. compDIVIDER

    0下载:
  2. 基于VHDL语言描述的一个分频器,根据端口值,可作为四分频,八分频等分频器使用。-based on VHDL descr iption of a divider, according to port value, as a quarter of frequency, Frequency Divider interval such use.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1396
    • 提供者:djksdf
  1. vhdl

    0下载:
  2. vhdl电子秒表设计 分频器 t触发器 模型框图-clock about vhdl
  3. 所属分类:JavaScript

    • 发布日期:2017-12-03
    • 文件大小:99772
    • 提供者:王琪
  1. VHDL-book3

    0下载:
  2. D_flipflop:1位D触发器的设计 D_fllipflop_behav:4位D触发器的设计 reg1bit:1位寄存器设计 reg4bit:4位寄存器设计 shiftreg4:一般移位寄存器的设计 ring_shiftreg4:环型移位寄存器的设计 debounce4:消抖电路的设计 clock_pulse:时钟脉冲电路的设计 count3bit_gate:3位计数器的设计 count3bit_behav:3位计数器的设计 mo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:9017647
    • 提供者:贾诩
  1. VHDL-

    0下载:
  2. VHDL语言 有限状态机交通灯的设计 分频器模块的设计-Finite state machine design language VHDL design of traffic lights divider module
  3. 所属分类:assembly language

    • 发布日期:2017-04-15
    • 文件大小:6457
    • 提供者:赵小川
  1. Prescaler-to-use-VHDL-design

    0下载:
  2. 本文使用实例描述了在 FPGA/CPLD 上使用 VHDL 进行分频器设计,包括偶数分频、非 50 占空比和50 占空比的奇数分频、半整数(N+0.5)分频、小数分频、分数分频以及积分分频。所有实现均可通过 Synplify Pro 或 FPGA 生产厂商的综合器进行综合,形成可使用的电路,并在 ModelSim 上进行验证。-This paper describes the use of examples prescaler to use VHDL design on FPGA/CPLD, i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:340069
    • 提供者:liufei
  1. VHDL-Programming-Examples

    0下载:
  2. 分频器、译码器、编码器、计数器、状态机等基本的硬件描述语言代码-The basic hardware divider, decoders, encoders, counters, state machine descr iption language code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1077848
    • 提供者:zyz
  1. div_div

    0下载:
  2. 可对时钟进行分频,计数功能,任意分频器 vhdl-counter vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1880
    • 提供者:龙哥
  1. VHDL

    0下载:
  2. 使用 VHDL 进行分频器设计 使用 VHDL 进行分频器设计-使用 VHDL 进行分频器设计使用 VHDL 进行分频器设计
  3. 所属分类:software engineering

    • 发布日期:2017-05-04
    • 文件大小:320762
    • 提供者:teng chengwang
  1. fen

    0下载:
  2. 分频器,可以实现时钟分频,频率变小则周期变长(Frequency divider, can realize clock frequency division, frequency becomes smaller, then the cycle becomes longer)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:140288
    • 提供者:佳12345
  1. Desktop4

    0下载:
  2. VHDL编写的分频器和数码管轮流点亮程序(VHDL shumaguan fenpinqi)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:1024
    • 提供者:西早
  1. cnt4M

    0下载:
  2. 基于Quartus II 的VHDL语言编程实现的一个50M分频器(50M frequency divider)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:1614848
    • 提供者:xidianstudent
  1. plj

    1下载:
  2. 使用vhdl语言原件例化设计数字频率计,并用6位7段数码管计数。模块包括:十进制计数器,6位10进制计数器,Reg24 锁存器、Fp 分频器、Ctrl 频率控制器、Disp 动态显示。(The digital frequency meter is designed by using VHDL language as an example and counted by 6-bit 7-segment digital tube. Modules include: decimal counter, 6
  3. 所属分类:其他

    • 发布日期:2019-12-13
    • 文件大小:11264
    • 提供者:贵阳余文乐
  1. vhdl 播放器

    0下载:
  2. 利用分频器设计硬件乐曲演奏电路;通过开关实现乐曲的切换
  3. 所属分类:中间件编程

« 1 2 3 4 56 7 8 9 10 ... 13 »
搜珍网 www.dssz.com