CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 分频器 vhdl

搜索资源列表

  1. feizhenshu

    0下载:
  2. 非整数分频器 分频系数为无限不循环小数 vhdl-non-integer frequency divider coefficient of circulator is not unlimited vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1828
    • 提供者:那锋
  1. clk_div2n

    0下载:
  2. 这是用VHDL 语言编写的参数可以直接设置的2n倍时钟分频器,在运用时,不需要阅读VHDL源代码,只需要把clk_div2n.vhd加入当前工程便可以直接调用clk_div2n.bsf。-This is the VHDL language parameters can be directly installed 2n times the clock dividers, when exercising not reading VHDL source code, clk_div2n.vhd simp
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1588
    • 提供者:谢光华
  1. verilog50%

    0下载:
  2. 本文主要介绍了50%占空比三分频器的三种设计方法,并给出了图形设计、VHDL设计、编译结果和仿真结果。设计中采用EPM7064AETC44-7 CPLD,在QUARTUSⅡ4.2软件平台上进行。 -This paper introduces a 50% duty cycle three dividers of the three design methods, and gives the graphic design, VHDL design, compile results and the
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:187592
    • 提供者:li
  1. jicifenpinqi

    0下载:
  2. 别人编写的奇次分频器,用VHDL写的,我已经在QUARTUS上验证过了-others prepared by the odd dividers, VHDL write, I have QUARTUS tested the
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:28937
    • 提供者:yuxyoo
  1. my_design_frequency

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号是最重要的信号之一。 下面我们介绍分频器的 VHDL 描述,在源代码中完成对时钟信号 CLK 的 2 分频, 4 分频, 8 分频, 16 分频。 这也是最简单的分频电路,只需要一个计数器即可。-in digital circuits, and often the need for higher frequency for the clock frequency operation, th
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1435
    • 提供者:卢吉恩
  1. Digital_system_design_example

    0下载:
  2. 数字系统设计实例.pdf,VHDL语言实现,7.1 半整数分频器的设计7.2 音乐发生器7.3 2FSK/2PSK信号产生器7.4 实用多功能电子表7.5 交通灯控制器 7.6 数字频率计.值得一看。-digital system design examples. Pdf, VHDL, 7.1-integer divider design Music Generator 7.2 7.3 2FSK/2PSK Signal Generator 7.4 Practical multi-functi
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:457718
    • 提供者:王天
  1. N_counter_VHDL

    0下载:
  2. 任意N进制分频器的标准VHDL代码(原创)-arbitrary N divider 229 standard VHDL code (original)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1021
    • 提供者:汤维
  1. even_divider_VHDL

    0下载:
  2. 常用2、4、6及任意偶数分频器的VHDL代码实现(原创)-used 2,4,6 and even arbitrary divider VHDL code to achieve (original)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1182
    • 提供者:汤维
  1. odd_divider_VHDL

    0下载:
  2. 常用1、3、5及任意奇数分频器的VHDL代码实现(原创)-used 1,3,5 and arbitrary odd Divider VHDL code to achieve (original)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1537
    • 提供者:汤维
  1. 52_divider

    0下载:
  2. 分频器,用VHDL语言编码,可能对你用处不是很大,但做为参考还是很大用处的-dividers, VHDL coding, you may not have much use, but as a reference or very useful
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1439
    • 提供者:jinlong
  1. fenpinqi

    0下载:
  2. 《分频器设计》绝对好用的EDA实验程序!已经通过测试。VHDL语言编写-"Frequency Divider" absolutely good for EDA experimental procedure! Already passed the test. VHDL language
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1185
    • 提供者:潘晓峰
  1. fenpinqi11

    0下载:
  2. 基于FPGA的分频器设计,已经通过了仿真(VHDL语言编写)-divider based on FPGA design, has adopted the simulation (VHDL language)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:456189
    • 提供者:董省
  1. 9

    0下载:
  2. 本文介绍了两种分频系数为整数或半整数的可控分频器的设计方法。其中之一可以实现50%的奇数分频。利用VHDL语言编程,并用QUARTERS||4.0进行仿真,用 FPGA 芯片实现。 关键词:半整数,可控分频器,VHDL, FPGA
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:180529
    • 提供者:陈金豹
  1. clk_div

    0下载:
  2. vhdl语言描述分频器,实现2、4、8、16……分频,经过实践
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:36341
    • 提供者:石仁利
  1. any_frequency_VHDL

    0下载:
  2. 任意整数分频器的vhdl源程序,放心使用. 无版权问题,欢迎copy.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1152
    • 提供者:大鲁
  1. expt53_dvf

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA数控分频器
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:39274
    • 提供者:多幅撒
  1. frequent

    0下载:
  2. 基于vhdl的数控分频器设计的源代码及仿真
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:100224
    • 提供者:hlj1232123
  1. vhdl1

    0下载:
  2. VHDL经典案例源码 有至少20个经典案例,如:自动售货机,分频器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:169548
    • 提供者:卢卢
  1. Microsoft

    0下载:
  2. 基于VHDL的分频器设计,这是源码希望对大家有用。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2953
    • 提供者:sun
  1. clk_2div

    0下载:
  2. vhdl语言编写的2分频器代码,简单易懂
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:924
    • 提供者:张昆
« 1 2 3 4 5 67 8 9 10 11 12 13 »
搜珍网 www.dssz.com