CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 并串-串并转换

搜索资源列表

  1. alaw

    0下载:
  2. 使用VHDL实现通信脉冲编码调制(PCM)中的a律转换,并实现串并、并串转换。-Use VHDL to achieve communication pulse code modulation (PCM) of a law conversion, and to achieve and string, and string conversion.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:5205
    • 提供者:wl
  1. chuanbingzhuanhuan

    0下载:
  2. 这个并串转换代码是依靠同步状态机来实现其控制的。其实并串转换在实际的电路中使用还是比较多的,尤其在通信线路方面的复用和分解方面,原理上就是一个串并转换和并串转换的过程。举个简单的例子,计算机串口发送数据的过程,如果满足发送条件了,其实就是一个并串转换的过程了。好了,废话不说,看代码就是。 -And the string conversion of the code is relying on the synchronization state machine to achieve its c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1009
    • 提供者:盛忠良
  1. keydisplay

    0下载:
  2. 单片机显示程序 采用键盘输入 定时器中断 串并转换 功能强大 有电路原理图 和源码-Single-chip display program using keyboard input timer interrupt powerful SERDES circuit schematic and source code
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-03
    • 文件大小:648832
    • 提供者:yiliang
  1. bingchuan

    0下载:
  2. verilogHDL编写的并串转换模块,在ISE软件中仿真过,可综合,绝对是正确的-prepared and verilogHDL string conversion module, the ISE simulation software that can be integrated, is absolutely correct
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:213870
    • 提供者:李晶
  1. NetDevice

    0下载:
  2. 该程序通过tcp/ip和串口设备进行文件交换,并可以搜索串口设备以及对串口设备进行参数设置,串口设备通过串口-网口转换设备NETCOM-10连接到局域网上,NETCOM-10是周立功公司开发的一款TCP/IP以太网转串口设备,应用比较广。-this program has the following functions:transfer files between pc and serial com device, the device used netcom-10 to connect to
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-05-25
    • 文件大小:8277093
    • 提供者:chensongle
  1. p2s

    0下载:
  2. 并串转换器:将并行输入的信号以串行方式输出,这里要注意需先对时钟进行分频,用得到的低频信号控制时序,有利于观察结果(可以通过L灯观察结果)-And series converter: the input signal in parallel to serial output, where attention should be paid to the need to carry out first clock frequency, low-frequency signals received b
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:127917
    • 提供者:米石
  1. SerialtoParallel

    0下载:
  2. proteus仿真74164串并转换,显示跑马灯-proteus SERDES 74,164 simulation shows Marquee
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:24835
    • 提供者:王为
  1. chuankou

    0下载:
  2. 系统上电复位后,系统就处于等待状态,当K0到K7有按键动作时,单片机会将动作的按键号0到7串行发送到串并转换芯片74LS164中,芯片74LS164使74LS240驱动数码管显示按键所对应的按键号。-System power-on reset, the system in a wait state, when there are key K0 to K7 action, single-chip will be the key actions 0-7 serial number is sent t
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:129862
    • 提供者:sunbin
  1. 74hc595

    0下载:
  2. 74HC595的汇编源代码,串并转换可省不少单片机口线.附595的规格书.-74HC595 compilation of source code, SERDES I can save a lot of single-chip line. 595 of the specifications attached.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:228977
    • 提供者:曹朝
  1. key_scan

    0下载:
  2. 非常实用的单片机键盘扫描程序,含常规行列扫描,通过74LS164或74HC595的串并转换后的行列扫描,以及通过TLC1543后的A/D转换后的直读扫描,再有常规的直读扫描,程序中含有记忆算法。-Very practical single-chip scanner keyboard, with the ranks of conventional scanning, 74LS164 or 74HC595 through the string and the ranks of the post-co
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:2563
    • 提供者:尤瑞
  1. s2p

    0下载:
  2. 一个很好的串并转换verilog代码,带有modelsim仿真文件-very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:59903
    • 提供者:杨经纬
  1. RS_232_FULIYE

    1下载:
  2. vc 6.0下使用MSComm控件进行串口通讯,可进行相应的通讯设置,显示串口数据并动态绘制波形图/曲线图,使用快速傅里叶变换将时域信号的串口数据转换为频域值,并动态显示。代码内有注释-vc 6.0 control for the use of MSComm serial communications, the communications can be set, indicating the serial data and dynamic mapping wave/curve, the use
  3. 所属分类:Communication

    • 发布日期:2015-03-03
    • 文件大小:3728174
    • 提供者:葛李欣
  1. DS1820

    0下载:
  2. DS18B20单总线数字式温度传感器实验,实验连线时QD连P1.0,串并转换实验孔 DIN P3.0, CLK P3.1,LED两位显示,-DS18B20 single-bus digital temperature sensor experiment, experiment QD connection with P1.0, string and convert experimental hole DIN P3.0, CLK P3.1, LED 2 display,
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:1946
    • 提供者:liu
  1. serial_input_parallel_output_module

    0下载:
  2. 有一批数据并行输入,位宽为4,输入的时钟频率是20MHz,模块的功能是对这些数据进行并串转换。它每收满6个数据(一个包),就对这6个数据进行处理,将这6个数据按照一定的顺序串行输出,输出的时钟频率是80MHz-serial input parallel output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:804754
    • 提供者:buffontus
  1. serial_in

    0下载:
  2. verilog 串并转换程序 状态机 有4位前导码 共转换3位 可自己修改后转换更多的串行数据位-Verilog serial signal to parallel signal transfer
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:750
    • 提供者:will zhang
  1. p_s

    0下载:
  2. 用VHDL语言编写的实现8位数据的并串转换,可下载在FPGA中-VHDL language with the realization of an 8-bit data, and the string conversion, can be downloaded in the FPGA in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:4956
    • 提供者:cloudy
  1. temperturecure

    1下载:
  2. 将串口上接收到的数据转换为温度数值并显示温度曲线-show temperture cure by mscom
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-01
    • 文件大小:9063
    • 提供者:陈文斯
  1. comport

    0下载:
  2. 接口程序的编写,串并转换。本程序在ISE集成开发环境下编写。适合初学者学习。-Interface program of preparation, string and conversion. The procedures in the preparation of ISE Integrated Development Environment. Suitable for beginners to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1052622
    • 提供者:李海波
  1. p_s

    0下载:
  2. 用Verilog HDL语言进行串并转换,并通过Quartus Ⅱ 功能仿真验证-Series with the Verilog HDL language and converted, and through functional simulation Quartus Ⅱ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:233189
    • 提供者:jabeile
  1. chuanbing

    0下载:
  2. 串并转换器的verilog源代码带testbench文件-String and converter verilog testbench file with the source code
  3. 所属分类:Document

    • 发布日期:2017-04-06
    • 文件大小:777
    • 提供者:王双
« 1 2 3 4 56 7 8 9 10 ... 25 »
搜珍网 www.dssz.com