CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 打铃

搜索资源列表

  1. jow_order

    1下载:
  2. 这是我准备电子设计大赛时,用VHDL写的一个自动打铃系统,很好的学习资料。-This is when I am going to Electronic Design Contest, use VHDL to write an automatic bell playing system, a very good learning materials.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3398221
    • 提供者:shuwei
  1. vhdl

    0下载:
  2. 自动打铃系统的VHDL设计中的状态机,自己已经检验过了完全正确-STATEMACHING OF AUTO RING WITH VHDL.
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:25315
    • 提供者:may
  1. 45575

    0下载:
  2. 校园打铃系统,内带51单片机c语言程序和电路原理图以及PCB,是在学校自己设计的程序-Campus rang the bell system, internal MCU c language program with 51 and circuit schematic and PCB, in the school of their own design process
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:859300
    • 提供者:joly
  1. 48efdeb2-ba95-47ff-a5ce-b076fa332038

    0下载:
  2. 只是说明,关于自动打铃器的一个文档。可以自己看-Simply stated, with regard to automatic Darlin
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:312646
    • 提供者:痞子
  1. 57578866autoring

    0下载:
  2. 关于自动打铃器的程序设计。应该还是不错的哦!~-Automatic bell on the browser
  3. 所属分类:Other systems

    • 发布日期:2017-05-06
    • 文件大小:1030951
    • 提供者:痞子
  1. clock

    0下载:
  2. 时钟的vhdl实现,具有打铃等功能,是一个很好的实现,我们做电子竞赛的源代码-VHDL clock to achieve a play-ling and other functions, is a good realization, we have the source code of the electronic competition
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1028
    • 提供者:王培新
  1. ring

    0下载:
  2. 用于打铃系统的vhdl实现的源码,是一个很好的教学代码!-Bell system for playing the realization of VHDL source code, is a very good teaching code!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:984
    • 提供者:王培新
  1. 20080627051722606

    0下载:
  2. 1、有数字钟功能;(不包括校时等功能) 2、可设置六个时间,定时打铃 3、响铃5秒钟。-1, there are digital clock function (not including school and other functions) 2, could be the establishment of six hours, timing Darlin 3, ringing 5 seconds.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-24
    • 文件大小:152247
    • 提供者:小刚
  1. dndlq

    0下载:
  2. 用LPC2300做的电脑打铃器(调试过)-LPC2300 make use of computer-ling device (debugging off)
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-26
    • 文件大小:200713
    • 提供者:xhh
  1. Bell

    0下载:
  2. Keil C51完整的128X64LCD显示的电子打铃仪代码,内含DS1302\X5045等操作代码-Keil C51 show 128X64LCD complete electronic beat bell instrument code, containing the DS1302 \ X5045 such as operation code
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:151691
    • 提供者:周农
  1. dalingkongzhiqi

    1下载:
  2. 24小时打铃控制器,定点报时。而且报时采用的声音信号频率不同。便于区分。-24-hour bell beat controller
  3. 所属分类:其他小程序

    • 发布日期:2013-12-17
    • 文件大小:1486
    • 提供者:冷锋
  1. JOW

    0下载:
  2. 此程序是本人按老板要求开发的自动打铃软件,结合使用电脑,可以设置何时自动打铃以及打铃的时长等。-This procedure is in accordance with my boss calls for the development of auto-play Ling software, combined with the use of computers can be set up automatically when the fight bell and bell playing, su
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-04
    • 文件大小:356576
    • 提供者:陈树祥
  1. ring

    0下载:
  2. 基于留名诺瑞的ARM的打铃系统的设计,可以修改任意打铃时间-use ARM make daling
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-04
    • 文件大小:5731
    • 提供者:jhf
  1. dalingkongzhiqi

    0下载:
  2. 一、课程设计题:单片机教学打铃控制器程序设计 二、设计要求: 用LED数码管显示时、分、秒时间,尽量减少时间积累误差,具有秒闪功能。 最多能设置40个打铃时间点,打铃时间点数据用非易失存储器存储,防止掉电丢失数据;能逐个检查、修改、删除已设置的打铃时间点和增加打铃时间点。 对时、打铃时间点用键盘设置,键盘设计简单、易于操作。 具有强电驱动功能,便于控制220V的电铃。 -First, the curriculum design title: Single-chip con
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:272360
    • 提供者:卢志文
  1. qw

    0下载:
  2. 原创 单片机教学打铃控制器C语言 收藏. /* 湖南师范大学工学院课程设计 单片机教学打铃控制器 芯 片:AT89S52 晶 振:频率12MHz 按键说明: BellSet P1^0//时间设置 ... -Original single-chip controller Darlin teaching collection of C language.Institute of Technology, Hunan Normal University, teaching courses desig
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:113066
    • 提供者:lee
  1. compute-automation-ring

    0下载:
  2. 电脑自动打铃设计与实现,ads运行中实现-compute-automation-ring
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:90590
    • 提供者:yefeng
  1. AT90S8515

    0下载:
  2. 汇编写的AT90S8515打铃程序,供大家参考一下~-AT90S8515 compilation of written procedures to fight bell for all to refer to ~
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:4321
    • 提供者:zhenghonglei
  1. RING

    0下载:
  2. 基于ARM7(周立功的easyarm)的学校打铃系统(带有万年历功能、用数码管显示和串口显示,)-Based on the ARM7 (Ligong weeks of easyarm) to fight the school bell system (with a calendar function, digital display and serial show)
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:119367
    • 提供者:asdfg
  1. stc10f08xe

    0下载:
  2. 时实时钟,2组时间打铃采.用stc10f08xe+sd2403api,每个应用都写成函数,调试通过.已做成成品,keil开发环境.-When real-time clock, two sets of hours rang the bell to adopt. With stc10f08xe+ sd2403api, each application is written in a function, debugging through. Has been made of finished prod
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:91448
    • 提供者:游水者
  1. AUTORING

    0下载:
  2. 自动打铃系统 附带时钟 定时打铃 整点打铃-Auto-play Ling System
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:492341
    • 提供者:lijing
« 1 2 34 5 6 7 »
搜珍网 www.dssz.com