CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 指令集

搜索资源列表

  1. dyesialization

    0下载:
  2. GPRS设备中文AT指令集 这个文档是基于GSM 标准07 07 的,西门子产品可以在没有事先通知的情况下随时进行修改,()
  3. 所属分类:Linux/Unix编程

  1. vbrj16

    0下载:
  2. [转]GPRS CDMA_AT指令集中文版()
  3. 所属分类:进程与线程

    • 发布日期:2018-04-22
    • 文件大小:594944
    • 提供者:Adamws
  1. risc_spm_v14

    0下载:
  2. 使用Altera CycloneIV 用Verilog语言实现一个精简指令集cpu(Using Altera CycloneIV to implement a streamlined instruction set CPU in Verilog language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:1055744
    • 提供者:LucienJ
  1. ZPL中文手册

    1下载:
  2. 斑马打印机指令集,用于直接调用打印机打印。(Zebra printer instruction set)
  3. 所属分类:中间件编程

    • 发布日期:2018-04-23
    • 文件大小:6610944
    • 提供者:crazykk
  1. btckward-communication

    0下载:
  2. 用vc6 0开发的基于at指令集的读写串口的短信收发程序,主要文件由c写成,便于移植()
  3. 所属分类:通讯编程

    • 发布日期:2018-04-30
    • 文件大小:22528
    • 提供者:Lenaywk
  1. cvaluate

    0下载:
  2. MOtorala GPRS模块开发手册及AT指令集,是最新版本的()
  3. 所属分类:Windows编程

    • 发布日期:2018-05-01
    • 文件大小:1846272
    • 提供者:UYfned@68438
  1. regawne-mettod

    0下载:
  2. Wavecom系列GSM模块官方AT指令集参考,()
  3. 所属分类:USB编程

    • 发布日期:2018-05-02
    • 文件大小:2476032
    • 提供者:XAY!06491
  1. 68000

    0下载:
  2. 68k指令集说明 We have included this appendix to save you the task of having to turn to secondary material when writing 68000 assembly language programs. Since most programmers are not interested in the encoding of instructions, details of instruction enc
  3. 所属分类:其他

    • 发布日期:2018-05-02
    • 文件大小:924672
    • 提供者:老东66
  1. dqpervicor

    0下载:
  2. 不错的华为CDMA资料!包括中英文指令集()
  3. 所属分类:串口编程

    • 发布日期:2018-05-03
    • 文件大小:1524736
    • 提供者:PJLSmrbdo_795
  1. 6911055

    0下载:
  2. 西门子MC39i模块的AT指令集及其说明,英文版()
  3. 所属分类:网络

    • 发布日期:2018-05-03
    • 文件大小:1719296
    • 提供者:Sainme
  1. fraghentetion

    0下载:
  2. AT指令集:包含所有gsm modem at指令,()
  3. 所属分类:系统编程

    • 发布日期:2018-05-03
    • 文件大小:2695168
    • 提供者:codeywtz
  1. 65816(6502)鍏ラ棬涔

    0下载:
  2. 这是一个sfc的专业编程指令集有用,需要的家伙下载好了,对此编辑的家伙致敬,我看不懂。(This is a SFC professional programming instruction set useful, need the guy to download it, this editor guy pays tribute, I don't understand)
  3. 所属分类:其他

    • 发布日期:2018-05-04
    • 文件大小:25600
    • 提供者:爱生气
  1. bytg15

    0下载:
  2. TC35 AT指令集英文手册,开发具有GSM短信功能的产品会用到,()
  3. 所属分类:网络

    • 发布日期:2018-05-03
    • 文件大小:930816
    • 提供者:cev@99989
  1. ColdFire编程参考手册(中文)

    0下载:
  2. 本手册包含了所有版本 ColdFire 微处理器指令集体系的详细信息。(This manual contains detailed information on the collective instructions of all versions of ColdFire microprocessor instructions.)
  3. 所属分类:单片机开发

    • 发布日期:2018-05-06
    • 文件大小:1147904
    • 提供者:接口2333
  1. ARM IAR汇编器参考指南

    0下载:
  2. ARM-IAR汇编语言,汇编指令集,汇编器手册(ARM-IAR assembly language, assembler instruction set, assembler manual)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-07
    • 文件大小:658432
    • 提供者:dashu
  1. ARM Cotrex-M3权威指南(英文)

    0下载:
  2. M3设计指南包括ARM的各种架构版本,指令集的开发,Thumb‐2指令集架构(ISA),是一本深入研究用的读物。(The M3 design guide includes the various architecture versions of ARM The development of instruction sets And Thumb2 instruction set architecture Which is an indepth study)
  3. 所属分类:文章/文档

    • 发布日期:2018-05-07
    • 文件大小:3466240
    • 提供者:sgp
  1. COD_Y60002-A1

    1下载:
  2. 合泰BH67F2470血糖仪方案源代码,BH67F2470 单片机是一款A/D 型具有8 位高性能精简指令集的Flash 单片机, 专门为有LCD 显示需求的血糖仪产品而设计。(Source code of Holtek BH67F2470 glycemic meter)
  3. 所属分类:单片机开发

    • 发布日期:2020-08-13
    • 文件大小:414720
    • 提供者:shenx
  1. 蓝牙BT06带底板资料2

    0下载:
  2. 蓝牙BT06带底板资料,包含AT指令集,蓝牙模块技术手册,安卓端和电脑端调试软件
  3. 所属分类:文档资料

  1. soc_sram_func

    2下载:
  2. 利用verilog编写的32位 MIPS指令集CPU,sram接口,已上板验证(The 32 bit MIPS instruction set CPU, SRAM interface written by Verilog has been verified on board.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-03-20
    • 文件大小:671744
    • 提供者:DGP1997
  1. Printer_DLL

    1下载:
  2. 1、HoneywellPrinter DLL动态库是Honeywell公司为了简化其打印机开发难度、减少打印机开发工作量而提供的一个功能函数集合。封装了一些常见打印机功能的操作。目前最新版本V1.3,包括64位和32位系统的两个不同库文件。 2、通过该动态库,代理商、软件商都可以很容易的针对Honeywell打印机进行各种定制开发而无需掌握打印机的指令集,从而可以将打印机很容易的无缝集成到他们的系统中去。 3、该动态库也支持直接发送各种打印指令到打印机,从而很好的兼容了的以往采用打印指令开发
  3. 所属分类:打印编程

    • 发布日期:2019-01-16
    • 文件大小:1659904
    • 提供者:BCDD
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com