CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 控制器

搜索资源列表

  1. yuyinbaojin

    0下载:
  2. 本系统是一个基于PSTN(公共电话网),以微处理器为控制器的智能语音报警系统,可分为核心控制台和报警传感外设两部分-the system is based on a PSTN (public telephone network), to the microprocessor controller for Intelligent Voice Alarm System can be divided into core console and alarm sensor peripherals part
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:329352
    • 提供者:许明
  1. Fuzzy_Controller

    0下载:
  2. 模糊控制矩阵推理,控制规则表,设计带有延迟一阶惯性环节得模糊控制器。-fuzzy control matrix reasoning, control rule, designed with a delay in order inertia links fuzzy controller.
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2008-10-13
    • 文件大小:49425
    • 提供者:dca001
  1. wang452128fg86

    0下载:
  2. 上次我传的倒立摆的控制程序,不是我的最终的程序,本程序采用VC++编程,定时器为多媒体控制器,(控制时间可到达5ms),控制算法用的是最优控制,-last time I Chuan an inverted pendulum control procedures, I was not the ultimate procedures, the procedures for using the VC program, Timer for multimedia controller (Control c
  3. 所属分类:压缩解压

    • 发布日期:2008-10-13
    • 文件大小:103504
    • 提供者:王志强
  1. VGAimagecontrollor

    0下载:
  2. VGA图象显示控制器设计,实现在VGA显示器上显示图象.-VGA image display controller designed to achieve the VGA display shows images.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1530
    • 提供者:刘叶
  1. ynokt1

    0下载:
  2. 使用1520控制器的12232点阵液晶模块的51单片机控制C代码,keil编译通过,使用端口直接连接方法,P1口为数据口,控制线在P3部分端口-1520 controller using dot matrix LCD module 12232 51 C MCU control code keil compiled by the use of ports directly connected, I P1 for data export, the line of control in some po
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:9510
    • 提供者:lda
  1. dellfanmonitorcontroler

    2下载:
  2. dell服务器的风扇控制器,带驱动源码,实现风扇转速的监测和控制.-dell server fan controller belt-driven source, the realization of the fan speed monitoring and control.
  3. 所属分类:驱动编程

    • 发布日期:2008-10-13
    • 文件大小:27405
    • 提供者:rongge
  1. sdram_control

    0下载:
  2. 这是我从网上找到的用vhdl语言写的sdram控制器的代码。我的邮箱:wleechina@163.com-This is what I found online vhdl language used to write the sdram controller code. My mail : wleechina@163.com
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:340592
    • 提供者:李伟
  1. GameCenter

    0下载:
  2. 游戏启动控制器 LF的,提供给大家学习使用-game start controller LF, we learn to use
  3. 所属分类:Delphi控件源码

    • 发布日期:2008-10-13
    • 文件大小:870067
    • 提供者:程然
  1. mainDSPWYB

    0下载:
  2. 本程序是基于TI公司DSPF206芯片设计的伺服控制器的源程序。-this program is based on the TI chip design companies DSPF206 the servo controller of the source.
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:3679
    • 提供者:王燕波
  1. nclight

    0下载:
  2. 利用硬件描述语言VHDL设计交通灯电路,设计一个十字路口交通灯控制器,东西、南北方向有红灯、黄灯、绿灯,持续时间分别为45、5、40秒。-use VHDL design of traffic lights at the circuit, the design of traffic lights at a crossroads controller East and West, North-South direction of a red light, yellow light, green li
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:998
    • 提供者:空气
  1. simple_fm_receiver.tar

    0下载:
  2. FM收音机的解码及控制器VHDL语言实现,Xilinx提供的.别谢我.-FM radio decoder and controller VHDL, Xilinx provide. I thank other.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:70152
    • 提供者:喻袁洲
  1. whatisMVC

    0下载:
  2. 模型-视图-控制器(MVC)是Xerox PARC在八十年代为编程语言Smalltalk-80发明的一种软件设计模式,至今已被广泛使用。最近几年被推荐为Sun公司J2EE平台的设计模式,并且受到越来越多的使用 ColdFusion 和 PHP 的开发者的欢迎。模型-视图-控制器模式是一个有用的工具箱,它有很多好处,但也有一些缺点。 -Model-View-Controller (MVC) is Xerox PARC in the'80s when the S programming
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:11786
    • 提供者:h
  1. Car_ECU

    5下载:
  2. 大巴车整车控制器程序 包括CAN通讯,与发动机ECU通信 基于PowerPC-bus TRUCK CAN controller procedures including communications, and communications engine ECU based on PowerPC
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:279246
    • 提供者:刘伟光
  1. OSEK_VDX

    2下载:
  2. 《OSEK/VDX汽车电子嵌入式软件编程技术》中光盘的资料,一些汽车控制器ECU的操作系统源代码和资料。-"OSEK / VDX automotive electronics embedded software programming technology," the CD, some car controller ECU operating system source code and information.
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:4741010
    • 提供者:刘伟光
  1. PID_controller

    0下载:
  2. 控制领域中PDI控制器的设计函数,实际运行中需要输入自然频率值-control field PDI controller design function, the actual operation of the need for importing natural frequency
  3. 所属分类:其他行业

    • 发布日期:2008-10-13
    • 文件大小:1266
    • 提供者:yeqing
  1. moqd320192

    0下载:
  2. ATMEL用IO模拟直接驱动内部无控制器的夏普320240,LCM,-ATMEL use IO simulation without internal direct drive controller Sharp 320240, LCM,
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:224408
    • 提供者:chenjian
  1. avrvt1335

    0下载:
  2. AVR驱动320240LCM的的控制器,驱动正常,不过,芯片说明上频繁刷新,不会产生雪花点,我实际测试还是会雪花点-320240LCM the AVR-driven controller, driving normal, but Note frequent chip set and will not produce snowflakes, I will test the actual snowflakes point
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:23864
    • 提供者:chenjian
  1. RTL8019AS_chinese

    0下载:
  2. 这是rtl8019控制器的一个中文资料,大家若想做嵌入式通信的相信一般回甬道这个控制器,所以这片文章可以帮助大家初始化rtl8019控制器-rtl8019 This is a Chinese controller, Embedded if you want to believe that the general communications corridor to the controller, Therefore, this article can help you initialize rt
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:237653
    • 提供者:于庆明
  1. BasicCANV1

    0下载:
  2. Can总线控制器sja1000的源程序,很有参考价值-Can Bus Controller sja1000 source, great reference value
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:503199
    • 提供者:曾红兵
  1. IICforsopcbuilder

    0下载:
  2. IIC控制器 for Sopc Builder-IIC controller for Sopc Builder
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:12422
    • 提供者:张建
« 1 2 ... 40 41 42 43 44 4546 47 48 49 50 »
搜珍网 www.dssz.com