CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 控制逻辑

搜索资源列表

  1. tri_emc3-expert83

    0下载:
  2. 用lattice expert8.3实现的三电机控制系统核心逻辑,配合80c196kc单片机实现直流无刷电机阵列控制。较之二代增加了can总线通讯逻辑(sja100+82c250)。-with lattice expert8.3 achieve the three motor control system core logic, with 80c196kc MCU brushless DC motor control array. Compared to the second-generatio
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:20978
    • 提供者:liu
  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. MATLAB5handbook

    0下载:
  2. 本书基于MATLAB 5.2版,提供了使用MATLAB的实践性指导。MATLAB已成为适合多学科、多种工作平台的功能强大、界面友好、语言自然并且开放性强的大型优秀应用软件,同时也已成为国内外高等院校高等数学、数值分析、数字信号处理、自动控制理论以及工程应用等课程的基本教学工具。本书按逻辑编排,自始至终用实例描述;内容完整且每章相对独立;是一本简明的MATLAB参考书,既适用于初学者,也适用于高级MATLAB用户。对MATLAB与FORTRAN、C等语言结合的描述更是所有MATLAB书籍中少有的一
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:12461208
    • 提供者:黄先生
  1. taxiwork

    0下载:
  2. 介绍了基于FPGA的多功能计程车计价器的电路设计。该设计采用了可编程逻辑器件FPGA的ASIC设计,并基于超高速硬件描述语言VHDL在Xilinx公司的SpartanⅡ系列的2sc200PQ208-5芯片上编程实现了整个系统的控制部分,整个自动控制系统由四个模块构成:秒分频模块、控制模块、计量模块和译码显示模块。该设计不仅仅实现了显示计程车计费的功能,其多功能表现在它可以通过选择键选择显示计程车累计走的总路程和乘客乘载的时间。计时、计程、计费准确可靠,应用于实际当中有较好的实用价值和较高的可行性
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:9090
    • 提供者:柑佬
  1. videofram

    0下载:
  2. 用CPLD控制图像卡进行帧存逻辑的verilog程序,用Quartus II 5.0打开-with CPLD control image frame buffer cards logical verilog procedures, Quartus II 5.0 Open
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:1325
    • 提供者:陈刚峰
  1. feijicontrol

    3下载:
  2. 飞行控制系统方案。整个系统由传感器组、飞控计算机、任务管理计算机、舵机、表决电路等主要部件和电源、外总线、通信设备、地面站等辅助设备组成。无人机、起落架、发动机、任务设备、燃油系统、环控系统等是被监控对象。其中,关键传感器采用相似或者非相似三余度;飞控计算机采用“主备备”式三余度飞控机算机;舵机采用二余度电动舵机;表决逻辑由高可靠性单余度数字逻辑电路和双路开关实现。-Flight Control System program. The entire system is composed of s
  3. 所属分类:行业发展研究

    • 发布日期:2008-10-13
    • 文件大小:580054
    • 提供者:chengp
  1. Matlabjiangyigaoji

    0下载:
  2. 本书基于MATLAB 5.2版,提供了使用MATLAB的实践性指导。 MATLAB已成为适合多学科、多种工作平台的功能强大、界面友好 、语言自然并且开放性强的大型优秀应用软件,同时也已成为 国内外高等院校高等数学、数值分析、数字信号处理、自动控制 理论以及工程应用等课程的基本教学工具。本书按逻辑编排, 自始至终用实例描述;内容完整且每章相对独立; 是一本简明的MATLAB参考书,既适用于初学者,也适用于高级 MATLAB用户。对MATLAB与FORTRAN、C等语言结
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:489751
    • 提供者:zhouyinye
  1. kexingxingbaogao

    0下载:
  2. 四、概要设计说明书 1.引言 2 1.1编写目的 2 1.2项目背景 2 1.3定义 2 1.4参考资料 2 2.任务概述 3 2.1目标 3 2.2运行环境 3 2.3需求概述 3 2.4条件与限制 3 3.总体设计 3 3.1处理流程 3 3.2总体结构和模块外部设计 3 3.3功能分配 3 4.接口设计 3 4.1外部接口 3 4.2内部接口 3 5.数据结构设计 3 5.1逻辑结构设计 3 5.2物理结
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:11257
    • 提供者:wei163
  1. lxa

    0下载:
  2. 将4MHz的访波输入到ccc模块上,输出500Hz提供鸣叫声频。1kHz的方波经fen10模块进行十分频后为秒模块mian、分模块mina、时模块hour,提供时钟信号;用sst模块为整点报时提供控制信号,(当59 50\"、52\"、54\"、56\"、58\"时,q500输出为”1”,秒为00时qlk输出为”1”,这两个信号经过逻辑或门实现报时功能);用sel模块提供数码管片选信号;用模块bbb将对应数码管信号送出需要的显示信号;用七段译码器dispa模块进行译码。 将4MHz的访波输入
  3. 所属分类:ASP源码

    • 发布日期:2008-10-13
    • 文件大小:6425
    • 提供者:索海铖
  1. QQQQQQQPLC

    0下载:
  2. 可编程控制器PLC的应用绝大部分都是通过编写梯形图的方式实现程序控制的,但对于智能低压开关系统来讲,其分、断的控制往往要求由主控操作室远程控制来完成。按传统的方法,主控室要对某一个开关柜进行操作,须先发一个控制命令,PLC接收到该控制命令后,再由PLC程序执行该命令,该段程序必须用梯形图或逻辑指令编程,当开关柜数目巨大或要求多路设备同时控制时,PLC的程序编写就比较复杂,尤其是采用脉冲型输出控制而不是用电平保持型控制时更是如此。因为开关柜的分、合控制须两路继电器输出分别控制“分闸”和“合闸”,而
  3. 所属分类:行业发展研究

    • 发布日期:2008-10-13
    • 文件大小:37749
    • 提供者:wjq
  1. 45614312

    0下载:
  2. 复杂模型机的设计与实现 综合运用所学计算机原理知识,设计并实现较为完整的计算机。模型机共有16条基本指令,其中算术逻辑指令7条,访问内存指令和程序控制指令4条,输入输出指令2条,其它指令1条。模型机的数据通路框图如下图所示。 -complex model for the design and implementation of the integrated use of learning computer science knowledge, design and implement a
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:4840
    • 提供者:刘洋
  1. 3104007

    0下载:
  2. 计算机组成原理的实验报告,包括 实验四 基本模型机设计与实现,实验一 运算器实验 (一) 算术逻辑运算器 实验一 运算器实验 (二) 进位控制实验 实验一 运算器实验 (三) 移位运算器实验 实验二 半导体存储器原理实验实验三 微程序控制器实验 包括自己的心得体会总结. -computer composition principle of the report, including the four basic model of experimental a
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:255332
    • 提供者:叶家良
  1. TemperatureController

    3下载:
  2. 本程序分为界面和控制器核心两部分 一、界面部分功能主要有: (1)显示控制器核心数据和参数 (2)与用户交互,可以调节初始输入温度值,并将温度变化率清零以便进行新一轮的模拟。 界面使用了定时器。开启模拟时候,每隔一秒,触发一次计时器消息,完成下列工作: 1. 将界面上的当前温度映射为模糊控制器的输入温度 2. 输出当前温度,当前温度变化率 3. 调用模糊控制,得到控制器输出值,将其乘以m_fFuelEffect (燃料输出对温度变化率的影响率,目前设置为0.3),得到
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:47985
    • 提供者:梁秀波
  1. 9.7_DIRIVER_control

    0下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.7 步进电机的控制   9.7.1 步进电机驱动的逻辑符号   9.7.2 步进电机驱动的时序图   9.7.3 步进电机驱动的逻辑框图   9.7.4 计数模块的设计与实现   9.7.5 译码模块的设计与实现   9.7.6 步进电机驱动的Verilog-HDL描述    9.7.7 编译指令-\"宏替换`define\"的使用方法   9.7.8 编译指令-\"时间尺度`timescale
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2523
    • 提供者:宁宁
  1. LG-CPLD

    1下载:
  2. 学习单片机、CPLD其实关键是实践,从51入门是个好选择,但不要停留在起点,学单片机方法得当是瞬间的事!但用好,就不好说了,一辈子都要努力随着产品控制技术的进步,CPLD与单片机的联系越来越密切,学会灵活应用cpld已经作为我们工程技术人 员的基本要求,抓紧时间学习吧,面对复杂的任务您就能应对自如,您的未来将更美好。 我们推荐这款实验CPLD+51MCU学习板,主要特色是集成了具有ISP功能的CPLD和Flash单片机,可以单独完成单片机和CPLD的实验,也可以通过跳线把单片机和CPLD联合起来
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1024660
    • 提供者:xcs
  1. bujinjizhuandong

    0下载:
  2. 利用DSP芯片控制步进电机的转动。 设计DSP外围的等待逻辑电路、等待逻辑控制电路、步进电机驱动电路 设计步进电动机驱动软件 按照设计电路焊接电路板,调试、测试 按照软件设计要求设计软件,并且结合硬件电路调试、测试。 最终达到控制步进电机转动目的 -use DSP controlled stepper motor rotation. DSP Design external logic circuits wait and wait for logic control cir
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:4108
    • 提供者:晨晨
  1. biyesheji_huibian

    0下载:
  2. 基于MCS-51单片机的数字钟设计 [摘要] III [ABSTRACT] IV 引言 1 1绪论 2 1.1 集成电路 2 1.2 主要技术的背景 2 1.2.1 发展历史 2 1.2.2 现状 3 1.2.3 发展趋势 3 2器件简介 4 2.1 LED显示器 4 2.1.1 LED显示器的结构 4 2.1.2 LED的接线形式 5 2.2 AT89C52简介 5 2.2.1 AT89C52主要性能参数: 5 2.2.2 AT8
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:228808
    • 提供者:李爱春
  1. C_9

    0下载:
  2. 100个经典vhdl编程实例, 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器...... -100 vhdl classical programmi
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:337411
    • 提供者:袁虎
  1. eb894854-c49f-4ba1-a258-411bc31cf6eb

    0下载:
  2. 介绍了基于FPGA的多功能计程车计价器的电路设计。该设计采用了可编程逻辑器件FPGA的ASIC设计,并基于超高速硬件描述语言VHDL在Xilinx公司的SpartanⅡ系列的2sc200PQ208-5芯片上编程实现了整个系统的控制部分,整个自动控制系统由四个模块构成:秒分频模块、控制模块、计量模块和译码显示模块。该设计不仅仅实现了显示计程车计费的功能,其多功能表现在它可以通过选择键选择显示计程车累计走的总路程和乘客乘载的时间。计时、计程、计费准确可靠,应用于实际当中有较好的实用价值和较高的可行性
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:8456
    • 提供者:石头
  1. T-REC-H[1].245-200605-I!!PDF-C

    0下载:
  2. 本建议书不仅说明了终端信息消息的句法和语义,而且说明了在通信起始或通信期间使用它们作为带 内协商的规程。消息不仅包括接收和传输能力,而且也包括来自接收端的通信方式优先级、逻辑信道信 令、控制与指示。为确保可靠的视听与数据通信,还详细说明了被普遍认可的信令规程。-the proposals not only explains the terminal information and news of the syntax and semantics, described the initia
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:4595971
    • 提供者:ma
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 33 »
搜珍网 www.dssz.com