CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 方波

搜索资源列表

  1. out

    0下载:
  2. 基于PCL-812PG采集卡的模拟量通道输出的c程序代码,实现了正弦,方波,三角波可调幅输出。-Based on PCL-812PG acquisition card,the analog channel output of the c code to realize a sine, square, triangle wave that can be amplitude modulated output.
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:600
    • 提供者:youthie
  1. AVR-Frequency-Generator

    0下载:
  2. 用ATMEGA8做的简易频率发生器。采用timer1的比较匹配功能产生方波,数码管显示。Proteus仿真。-Easy to do with ATMEGA8 frequency generator. Timer1 compare match function by generating a square wave, digital display. Proteus simulation.
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:333027
    • 提供者:浩杰
  1. fpga

    0下载:
  2. 本设计是基于FPGA技术,用于产生一定频率宽度的正弦波、方波和矩形波-The design is based on FPGA technology, used to generate a certain frequency width of the sine wave, square wave and rectangular wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1190
    • 提供者:杨雪
  1. jydpidchkfzh

    0下载:
  2. 单片机的程控幅值程序设计,包括了方波,正弦波,三角波,锯齿波,还有幅值调节-Amplitude programmable microcontroller programming, including a square wave, sine wave, triangle wave, sawtooth wave, as well as amplitude adjustment
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:278137
    • 提供者:arron
  1. matlab-

    0下载:
  2. 用MATLAB编程产生方波信号序列s[n],产生幅值为6,频率为0.125kHz的方波信号,-Square wave generated by MATLAB programming signal sequence s [n], generating amplitude 6 and frequency square wave signal for the 0.125kHz,
  3. 所属分类:matlab

    • 发布日期:2016-01-21
    • 文件大小:2048
    • 提供者:kiki
  1. mywork

    0下载:
  2. 实现8051上的方波输出,使用定时方式,通过改变P1.1口的高低电平进行输出,文件中包括proteus仿真和keil/汇编语言。-8051 on the realization of the square wave output, use the regular way, by changing the P1.1 port of the high-low to output file, including proteus simulation and keil/assembly language
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:38243
    • 提供者:黄瓜
  1. low-pulse-triggered

    0下载:
  2. 在方式2计数期间,OUT端为高,当减到0,OUT端输出低脉冲。本实验用此低脉冲触发微控制器的中断。方式3是方波发生器方式,计数值N为偶数时,输出为对称方波,前N/2期间,OUT输出为高,后N/2期间,OUT为低。本实验中,时钟CLK信号由ALE接入,设Q0为输出频率,Q1为ALE频率,则:计算初值=Q1/Q0.-During the counting mode 2, OUT terminal is high, when reduced to 0, OUT-ended output low pul
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-01
    • 文件大小:11566
    • 提供者:gaoyilang
  1. VHDL-Waveform-source

    0下载:
  2. I/V转换波形输出,可生成三角波、锯齿波、方波、和正弦波等常用波形。-I/V converter output waveforms can be generated triangle wave, sawtooth wave, square wave, and other commonly used sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1627
    • 提供者:obu
  1. optimal-WPMT-based-on-MSE

    0下载:
  2. 基于最小均方误差准则的小波包树结构的选择 仿真程序-Based on minimum mean square error criterion selection of wavelet packet tree structure simulation program
  3. 所属分类:Graph Drawing

    • 发布日期:2017-03-31
    • 文件大小:5480
    • 提供者:li
  1. fangbo

    0下载:
  2. EDA实验时,可以产生一定参数的方波,可以通过修改参数产生不同类型的方波,可用于实验的信号提供-EDA experiments, certain parameters can produce a square wave can be generated by modifying the parameters of different types of square wave signals can be used for the experiment
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:30644
    • 提供者:胡秋明
  1. waveform

    0下载:
  2. Verilog HDL数字系统设计项目,频率可调的任意波形发生器,可以输出正弦波、方波、三角波和反三角四种波形-Verilog HDL digital system design projects, adjustable frequency arbitrary waveform generator can output sine wave, square wave, triangle wave and the anti-triangular four waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2274171
    • 提供者:saln
  1. ^^

    0下载:
  2. 根据输入的点数,周期,电压产生正弦波,方波和三角波的程序,可在示波器上输出-According to the input points, cycle, voltage is generated sine wave, square wave and triangular wave process can be output on an oscilloscope
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:765
    • 提供者:dgftd
  1. 20kHz

    0下载:
  2. 51单片机20kHZ方波C程序,欢迎大家下载交流学习。-51 SCM 20kHZ square wave C program, are welcome to download exchange study.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-15
    • 文件大小:6861
    • 提供者:吴宗元
  1. 716

    0下载:
  2. 利用汇编语言在pc机上显示正弦波 三角波以及方波-Pc assembly language used in the sine wave displayed on the unit triangle and square wave
  3. 所属分类:Wavelet

    • 发布日期:2017-04-15
    • 文件大小:7949
    • 提供者:cxl
  1. MC9S12XS128_PWM

    0下载:
  2. 功能说明:MC9S12XS128--PWM例程 . 使用说明:实现通道3(PTP3)输出频率为1KHz,占空比为50 的方波,用示波器观察 -Function Descr iption: MC9S12XS128- PWM routines. Use: to achieve channel 3 (PTP3) the output frequency is 1KHz, 50 duty cycle square wave, with the oscilloscope
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:808815
    • 提供者:郭冰冰
  1. timer

    0下载:
  2. 单片机AT89s51控制定时器产生周期为2s方波,并点亮LED-MCU timer time=2s
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:39034
    • 提供者:shanyin
  1. waveform_generator

    0下载:
  2. VHDL语言编写的波形发生器程序,可以产生方波、三角波、正弦波、锯齿波等波形-Waveform generator written in VHDL program that can generate a square wave, triangle wave, sine wave, sawtooth wave, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:617397
    • 提供者:ldong1989
  1. SCM-20KHZ-square-wave-output

    0下载:
  2. 用T0已方式1产生频率为XX【自己的学号(20号)】KHZd的方波,已知晶振频率为12MHZ,请编程实现。;-T0 has been generated by way of a frequency of XX 【their student number (20)】 KHZd square wave, known crystal frequency 12MHZ, please programming.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:914
    • 提供者:徐建升
  1. jianyishuzipinlvji

    0下载:
  2. (1)基本要求: a.被测信号的频率范围为1~20kHz,用4位数码管显示数据。 b.测量结果直接用十进制数值显示。 c.被测信号可以是正弦波、三角波、方波,幅值1~3V不等。 d.具有超量程警告(可以用LED灯显示,也可以用蜂鸣器报警)。 e.当测量脉冲信号时,能显示其占空比(精度误差不大于1 )。 (2)发挥部分 a.修改设计,实现自动切换量程。 b.构思方案,使整形时,以实现扩宽被测信号的幅值范围 -(1) Basic requirements: a.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4487
    • 提供者:longking
  1. speed-of-power

    1下载:
  2. 给一个方波加上均匀白噪声,通过滤波,通过公式转换,得出转速值。-Evenly to a square wave and white noise, through the filter, through the conversion formula obtained speed values.
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:214127
    • 提供者:yuanzhihong
« 1 2 ... 41 42 43 44 45 4647 48 49 50 »
搜珍网 www.dssz.com