CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 查找表

搜索资源列表

  1. Multiplier

    0下载:
  2. 使用三种不同结构(加法树、查找表、Booth算法)实现的乘法器,带有测试文件。-Use of three different structures (addition tree, look-up table, Booth algorithm) to achieve the multiplier, with testbench files.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3815
    • 提供者:马昭鑫
  1. fskpsk

    0下载:
  2. psk信号发生器在这个实验中,需要输入一个整周期内100个采样点的值,没有利用查找表的方法实现,而是直接在程序中输入100个采样点的值。2FSK/2PSK主要包括两部分2FSK信号发生器和2PSK信号发生器。2FSK信号发生器主要有分频器,m序列产生器,跳变检测,正弦信号发生器和DAC几个部分组成。2FSK的关键是通过判断信号跳变是来改变频率的变化,2PSK的关键是通过信号的跳变来改变相位的变化。-psk信号发生器
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:372255
    • 提供者:aaa111
  1. PSK

    0下载:
  2. 在这个实验中,需要输入一个整周期内100个采样点的值,没有利用查找表的方法实现,而是直接在程序中输入100个采样点的值。2FSK/2PSK主要包括两部分2FSK信号发生器和2PSK信号发生器。2FSK信号发生器主要有分频器,m序列产生器,跳变检测,正弦信号发生器和DAC几个部分组成。2FSK的关键是通过判断信号跳变是来改变频率的变化,2PSK的关键是通过信号的跳变来改变相位的变化。-psk
  3. 所属分类:matlab

    • 发布日期:2017-05-08
    • 文件大小:1568584
    • 提供者:aaa111
  1. 24bitTo8bit

    0下载:
  2. 24位图像转化为8位图像,使用查找表来实现此功能-24-bit images into 8-bit image, use the lookup table to implement this feature
  3. 所属分类:Picture Viewer

    • 发布日期:2017-04-08
    • 文件大小:733765
    • 提供者:sisi wang
  1. xiaomei3

    0下载:
  2. 介绍了无记忆高功率放大器的非线性特性和常见的各种线性化技术,重点研究了基带查找表法预失真技术,对其进行了FPGA实现-Introduces memoryless nonlinear characteristics of high power amplifier and the common variety of linearization techniques, focus on the base-band pre-distortion lookup table method, techniqu
  3. 所属分类:VHDL编程

    • 发布日期:2014-11-06
    • 文件大小:1440127
    • 提供者:张笑梅
  1. AWG_MATLAB_LUT

    0下载:
  2. 基于单片机(AT89S51)和CPLD(MAX7128)的任意波形发生器的设计——MATLAB生成多种波形查找表的代码-A design of AWG based on MCU(AT89S51) and CPLD(MAX7128)——source code for waveform LUT established by MATLAB
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1820055
    • 提供者:张振
  1. CustomerBillingManagement

    0下载:
  2. 客户帐单管理是电信计费系统必备的重要功能模块,主要负责对电信各类客户每月帐单的增加、修改、删除、查询、备份等管理工作。本课题以中国电信企业客户帐单管理模块原型参照,要求基于单链表结构对文件存储的客户帐单数据进行排序、查找、计算、显示等造作。通过此可以,熟练掌握单链表结构、文件读写、函数调用等知识,以及查找、排序典型算法的设计与应用。-Customer Billing Telecom Billing System management is an important function module
  3. 所属分类:Data structs

    • 发布日期:2017-04-16
    • 文件大小:60928
    • 提供者:yeats
  1. dpdsimulation

    1下载:
  2. 基于matlab的对多项式数字预失真和查找表数字预失真的比较-Matlab-based digital predistortion and of polynomial lookup tables digital predistortion comparison
  3. 所属分类:matlab

    • 发布日期:2014-07-25
    • 文件大小:2222080
    • 提供者:鲁铭铭
  1. DynamicSearchTable

    0下载:
  2. 本实验的动态查找表基本功能有:创建一个动态查找表、销毁一个动态查找表、查找、插入和删除一个关键字、遍历动态查找表。-In this study, the dynamic lookup table basic functions: to create a dynamic look-up table, destroy a dynamic lookup table, search, insert and delete a keyword, traversing the dynamic lookup t
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-17
    • 文件大小:391373
    • 提供者:Sailleaf
  1. vhdl2

    0下载:
  2. vhdl语言正弦信号发生器设计,传统的用分立元件或通用数字电路元件设计电子线路的方法设计周期长,花费大, 可移植性差。本文以正弦波发生器为例,利用EDA 技术设计电路,侧重叙述了用VHDL 来完 成直接数字合成器(DDS) 的设计,DDS 由相位累加器和正弦ROM 查找表两个功能块组成,其 中ROM查找表由兆功能模块LPM-ROM来实现。-The traditional use of discrete components or general purpose digital cir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:94354
    • 提供者:枫蓝
  1. ROM

    0下载:
  2. Verilog sine的查找表,相信大家会用到-Verilog sine lookup table, I believe we will use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:3277
    • 提供者:wuzhongpeng
  1. DDS

    0下载:
  2. DDS数字频率合成的verilog代码,附有正余弦查找表等-DDS digital frequency synthesis verilog code, with a cosine look-up table, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-13
    • 文件大小:16772096
    • 提供者:allen-haha
  1. rom

    0下载:
  2. 该源码是基于查找表的VHDL代码实现DDS-The source code is based on the VHDL code look-up table DDS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:651385
    • 提供者:allen-haha
  1. finding

    0下载:
  2. 折半查找的算法思想是将数列按有序化(递增或递减)排列,查找过程中采用跳跃式方式查找,即先以有序数列的中点位置为比较对象,如果要找的元素值小于该中点元素,则将待查序列缩小为左半部分,否则为右半部分。通过一次比较,将查找区间缩小一半。 折半查找是一种高效的查找方法。它可以明显减少比较次数,提高查找效率。但是,折半查找的先决条件是查找表中的数据元素必须有序。 -Binary search algorithm idea is to sequence by ordering (ascending or
  3. 所属分类:Data structs

    • 发布日期:2017-04-09
    • 文件大小:784
    • 提供者:zn
  1. sin_producer

    0下载:
  2. VERILOG语言,查找表方法 实现了 典型的 正弦波 发生器-verilog sin signal producer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4978181
    • 提供者:liujia
  1. NCO

    0下载:
  2. 关于FPGA设计实现NCO,包括查找表法和CORDIC算法的改进-FPGA design and implementation on the NCO, including the look-up table method and the CORDIC Algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1444443
    • 提供者:张子龙
  1. PLD-LOGIC_SPWM

    0下载:
  2. 电子设计竞赛中获二等奖,在FPGA中实现的两路自然采样SPWM,原理图输入法设计,1024*八位正弦查找表,带FSK和ASK调制功能,频率范围8KHz~12KHz.-Electronic Design Competition second prize in the FPGA to achieve the two natural sampling SPWM, schematic design input, 1024* eight sine look-up table, with FSK and A
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2628003
    • 提供者:zlz
  1. SG_FPGA

    0下载:
  2. 2006年电子设计竞赛二等奖,多功能函数、信号发生器核心器件FPGA内部的原理图,主要模块用VHDL代码描述,包括PLL、相位累加器、波形算法和正弦波查找表,可实现0.005Hz~20MHz的多波形信号产生,频率步进值0.005,输出接100MSPS速率的DAC--AD9762-Electronic Design Competition 2006, second prize, multi-function signal generator within the core of the devic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1099880
    • 提供者:zlz
  1. sin

    0下载:
  2. 设计一个正弦信号发生器,用VHDL设计出同步寄存器、相位累加器等,正弦ROM查找表建议采用定制器件的方法完成,正弦ROM数据文件可以用C代码完成。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:87617
    • 提供者:朱恩培
  1. gdjdf-jd

    0下载:
  2. 升余弦查找表,对于通信实验有很大作用,基本通信专业的学生都可以-Raised cosine lookup table
  3. 所属分类:Communication

    • 发布日期:2017-04-04
    • 文件大小:519763
    • 提供者:baikai
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »
搜珍网 www.dssz.com