CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正余弦

搜索资源列表

  1. table-for-sin-functionof-

    0下载:
  2. DDS中的正余弦生成,初始相位相差90度,可自行改变输出频率-Cosine generation of DDS, the initial phase difference of 90 degrees, the output frequency can be changed on their own
  3. 所属分类:matlab

    • 发布日期:2017-05-02
    • 文件大小:733769
    • 提供者:hdl
  1. cordic_fpga

    0下载:
  2. 基于VHDL的FPGA设计,利用CORDIC IP核设计角度的正余弦算法。-Cosine algorithm VHDL based FPGA designs using CORDIC IP core design angles.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:834
    • 提供者:shiyan chen
  1. 离散余弦变换(DCT)

    0下载:
  2. 离散余弦变换(DCT)及其C++实现 定义:离散余弦是一种基于实数的正交变换 基本算法 快速算法 程序说明
  3. 所属分类:编程文档

  1. SINANDCOS-CORDIC

    0下载:
  2. 该资料是利用cordic算法实现正余弦函数计算输出的源程序及说明。资料中包含迭代算法和流水线算法。-This information is to use cordic algorithm source code and instructions cosine function calculates the output. Iterative algorithms and data contained in the pipeline algorithm.
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:116482
    • 提供者:chenjianwen
  1. DDS

    0下载:
  2. 基于fpga的正余弦波形发生器,Verilog代码,测试通过。-Cosine waveform generator fpga based, Verilog code, the test passes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4471745
    • 提供者:黄迟
  1. smallCal

    0下载:
  2. 德国老外的程序,免费开源可以计算各种不同的函数、以及四则运算,可以处理括号,还可以计算正余弦,是一款不可多得的表达式计算类和计算工具。-Germany foreigners procedures to free and open source can calculate a variety of functions, as well as four operations that can handle brackets, you can also calculate the sine and c
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-27
    • 文件大小:21541
    • 提供者:平常
  1. cordic

    0下载:
  2. 用cordic实现正余弦波形发生器,内附详细代码注释以及testbench文件,适合初学者掌握cordic算法原理以及简单应用-With cordic achieve positive cosine waveform generator, containing detailed code comments and testbench files, suitable for beginners to master cordic algorithm and a simple application
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2256
    • 提供者:黄迟
  1. dds_freq_ang

    0下载:
  2. 基于MSP430F169的一个阻抗角和阻抗值计算的程序,需要知道电压正余弦分量大小。用到AD9834,ADS1120.-Program is based on an impedance angle and impedance values calculated MSP430F169 need to know the size of the voltage sine and cosine components. Use the AD9834, ADS1120.
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:472441
    • 提供者:yangjintao
  1. wavelet

    1下载:
  2. 傅里叶变换是用一系列不同频率的正余弦函数去分解原函数,变换后得到是原函数在正余弦不同频率下的系数。 小波变换使用一系列的不同尺度的小波去分解原函数,变换后得到的是原函数在不同尺度小波下的系数。 不同的小波通过平移与尺度变换分解,平移是为了得到原函数的时间特性,尺度变换是为了得到原函数的频率特性。 小波变换步骤: 1.把小波w(t)和原函数f(t)的开始部分进行比较,计算系数C。系数C表示该部分函数与小波的相似程度。 2.把小波向右移k单位,得到小
  3. 所属分类:Special Effects

    • 发布日期:2017-04-08
    • 文件大小:1283
    • 提供者:lucy
  1. VB-Scientific-Calculator

    0下载:
  2. VB 编写的科学型计算器源码,其中也包括标准版,标准版完成基本的数学运算,科学版功能就多了,可完成平方、立方、正余弦等运算。-VB source code written in scientific calculator, including Standard Edition, Standard Edition complete basic math, science version features the more, to be completed by the square, cube,
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-29
    • 文件大小:15202
    • 提供者:tt
  1. 56800Ex_GFLIB

    0下载:
  2. 适用于Freescale 56F800E(X)系列芯片, 含正余弦函数,正余切函数以及相应反函数,开方函数,PI函数等等。-This reference manual describes the General Functions Library (GFLIB) for the Freescale 56F800E(X) family of Digital Signal Controllers. This library contains optimized functions.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-07
    • 文件大小:1075462
    • 提供者:cheng
  1. sin-cos-signal-generator

    0下载:
  2. 在DSP中用C语言产生正余弦信号发生器,并在实际的示波器上得到很好的波形-Generate sine and cosine signal generator in the DSP using C language, and is well on the actual oscilloscope waveforms
  3. 所属分类:DSP program

    • 发布日期:2017-04-25
    • 文件大小:73594
    • 提供者:wt
  1. process

    0下载:
  2. 利用VHDL硬件描述语言来实现正余弦信号的产生-Using the VHDL hardware descr iption language to achieve the generation of a positive cosine signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2520
    • 提供者:曹馨月
  1. QEP5

    0下载:
  2. 用matlab的m文件编写的编码器初步仿真,实现了正余弦波、方波,以及脉冲计数和四倍频脉冲计数,初步实现了编码器的初步模型。-With matlab m-file write encoder preliminary simulation, to achieve a positive cosine wave, square wave, and pulse count and quadruple pulse count, the initial realization of preliminary
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1481
    • 提供者:刘帅
  1. DDS

    0下载:
  2. 基于DDS算法的正余弦信号发生器的FPGA实现-Based on DDS Algorithm cosine signal generator FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:5458
    • 提供者:孙琳
  1. Curve

    0下载:
  2. 编写一个绘制曲线的程序。菜单可选绘制正余弦等经典曲线。 可以调整线的颜色和粗细-Write a program to draw curves. Draw menu options and other classic sine and cosine curve. You can adjust the color and thickness of the line
  3. 所属分类:Menu control

    • 发布日期:2017-05-09
    • 文件大小:1961921
    • 提供者:老王
  1. jisuanqi

    0下载:
  2. 用加减乘除等运算功能正余弦制作简单的计算器MATLAB- Simple calculator matlab produced with addition, subtraction and other computing functions sine cosine
  3. 所属分类:Other Games

    • 发布日期:2017-04-29
    • 文件大小:18263
    • 提供者:小龙
  1. fft

    0下载:
  2. 可以用于快速傅里叶变换,实数、复数都适合。正余弦变换-Radix-2 Fast Fourier Transform, real or complex sin/cos transform
  3. 所属分类:source in ebook

    • 发布日期:2017-04-30
    • 文件大小:42764
    • 提供者:
  1. 谐波分析

    1下载:
  2. 谐波分析是把时间序列从时域变换到频率域,以频率域空间中若干条不同频率的正余弦波( 谐波) 相叠加形式来表示时域空间的一条时间序列曲线。
  3. 所属分类:其它程序

  1. fft2C

    0下载:
  2. 快速傅里叶转换程序,简单好用,说明清楚,内容详细,里面有正弦,余弦转换程序,可以用来产生正余弦数组。强烈推荐!~-Fast Fourier transformation program, simple to use, the instructions clear, detailed, there are sine, cosine conversion program, and can be used to generate positive cosine array. It is highly
  3. 所属分类:Communication

    • 发布日期:2017-04-29
    • 文件大小:173120
    • 提供者:zhangfafei
« 1 2 3 4 56 7 8 9 10 11 »
搜珍网 www.dssz.com