CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦信号 频率

搜索资源列表

  1. sineWaveGenerator

    0下载:
  2. FPGA生成高质量400Hz正弦信号的代码,可调节信号频率、初相位及信号质量-FPGA generated code 400Hz sinusoidal signal quality, signal frequency can be adjusted, and the initial phase of signal quality
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-11
    • 文件大小:2692
    • 提供者:苟李
  1. segmented-FF-T

    0下载:
  2. 对利用分段 FF T 的相位差估计正弦信号的频率和初 相的误差进行了分 析- this paper, the frequency and the error of the initial phase are analyzed by using the phase difference of the segmented FF T
  3. 所属分类:Project Design

    • 发布日期:2017-12-12
    • 文件大小:166275
    • 提供者:
  1. xcorr

    0下载:
  2. 原信号s1为正弦信号,原信号s2为频率相同的另一正弦信号; 对s1、s2分别加噪声n1、n2得到信号x、y; 对加有噪声的x做自相关函数估计原信号; 对加有噪声的x、y做互相关函数估计原叠加信号。-The original signal s1 is a sinusoidal signal, the original signal s2 is another sinusoidal signal with the same frequency On s1, s2 were adde
  3. 所属分类:matlab

    • 发布日期:2017-12-16
    • 文件大小:1024
    • 提供者:吴佳铭
  1. sample

    0下载:
  2. 对连续时间正弦信号x(t) sin(2π t+ ),可以按抽样频率 1/ 对x(t)抽样来获得离散时间信号x[n] x(t) sin( ). 以不同的组合f0和fs绘出x[n],可以说明混叠问题。以下,去抽样频率fs 8kHZ. (a)绘出一个被抽样的正弦波单图。正弦波频率300Hz,10ms间隔上抽样,相位任意指定。使用stem绘图。 (b)使用plot绘图。 (c)将正弦波频率从100Hz变至475Hz,每次增加125Hz。绘图。 (d)将正弦波频率从7525H
  3. 所属分类:Software Testing

    • 发布日期:2017-12-14
    • 文件大小:16453
    • 提供者:张丽丽
  1. DDS

    0下载:
  2. DDS的字长决定了正弦/余弦基准信号样点的个数和所产生频率信号的量化精度。 最小频率间隔决定了DDS所能产生信号的最小频率。DDS所产生信号的频率为最小频率间隔的整数倍。(The word length of the DDS determines the number of sample points of the sine / cosine reference signal and the quantization accuracy of the generated frequency si
  3. 所属分类:其他

    • 发布日期:2017-12-23
    • 文件大小:2048
    • 提供者:YkY101
  1. sinewave

    0下载:
  2. 正弦波是频率成分最为单一的一种信号,因这种信号的波形是数学上的正弦曲线而得名。任何复杂信号——例如音乐信号,都可以看成由许许多多频率不同、大小不等的正弦波复合而成。(Sine wave is the most single frequency components of a signal, this signal waveform is the sine curve on the mathematics of the name.Any complex signals, such as music
  3. 所属分类:单片机开发

    • 发布日期:2017-12-21
    • 文件大小:27648
    • 提供者:6Andd7
  1. frequency(PA0)

    0下载:
  2. 频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1秒。闸门时间也可以大于或小于一秒。闸门时间越长,得到的频率值就越准确,但闸门时间越长则没测一次频率的间隔就越长。闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。本文数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率,转速,声音的频率以及
  3. 所属分类:单片机开发

    • 发布日期:2017-12-20
    • 文件大小:3473408
    • 提供者:淅淅沥沥
  1. PLL_D

    0下载:
  2. 用51单片机控制锁相环PLL输出不同频率的正弦信号(The phase-locked loop PLL is controlled by 51 single-chip microcomputer to output sinusoidal signals of different frequencies)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-24
    • 文件大小:105472
    • 提供者:宝宝嘘嘘
  1. 9-1

    0下载:
  2. 可以产生正弦波、方波、三角波、锯齿波,并设置幅度、频率、偏移、占空比。(Sine wave, Fang Bo wave, triangle wave and sawtooth wave can be generated, and the amplitude, frequency, offset and duty ratio are set.)
  3. 所属分类:其他

    • 发布日期:2018-01-02
    • 文件大小:24576
    • 提供者:ZHHSYYQ
  1. CQMFB的设计

    0下载:
  2. 设计一CQMFB,低通滤波器H0(Z)来自一半带滤波器。该半带滤波器的长度为47,通带截止频率wp=0.42pi,试给出H0(Z),H1(Z),G0(Z),G1(Z)的幅频响应,单位抽样响应并画图。试着产生一信号,它由两个正弦加白噪声组成,一个在低频,一个在高频,正弦的频率及和白噪声的信噪比自己给定。使用所设计的滤波器对该信号进行分解和重建。比较重建后的效果,并对结果进行分析。(The design of the CQMFB)
  3. 所属分类:文章/文档

    • 发布日期:2018-01-07
    • 文件大小:405504
    • 提供者:吕园园
  1. fft4

    0下载:
  2. FFT及IFFT算法的C语言实现,信号发生器产生的正弦信号在通过该算法可以准确算出频率(The implementation of the FFT algorithm in the C language)
  3. 所属分类:Linux/Unix编程

    • 发布日期:2018-01-10
    • 文件大小:2048
    • 提供者:王小小小
  1. fft5

    0下载:
  2. FFT及IFFT算法的C语言实现,信号发生器产生的正弦信号在通过该算法可以准确算出频率,计算耗时较短。(The implementation of the FFT & IFFT algorithm in the C language,After testing,the results are reliable.)
  3. 所属分类:Linux/Unix编程

    • 发布日期:2018-01-10
    • 文件大小:2048
    • 提供者:王小小小
  1. PLL

    1下载:
  2. 一个基于二阶广义积分器的锁相环仿真模型,可以测得三相正弦信号的相位和频率(Phase-locked loop simulation model based on Nikai Hiroyoshi integrator)
  3. 所属分类:仿真建模

    • 发布日期:2018-04-29
    • 文件大小:23552
    • 提供者:wjk174
  1. DDS30k

    0下载:
  2. 在quartus开发平台基于直接数字频率合成技术利用Verilog语言实现正弦信号和三角波信号发生(Verilog was used to generate sine and triangle wave signals based on direct digital frequency synthesis in quartus development platform.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:6219776
    • 提供者:gmj3841168
  1. 虚拟频谱分析仪设计

    0下载:
  2. 设计的虚拟频谱分析仪由周期性信号发生器、信号幅频/相频特性、频谱分析结果三个子模块组成。信号发生器子模块生成两路模拟输入信号,一路是可调频率、相位和振幅的正弦信号、方波、三角波、锯齿波、白噪声,另一路是指可调频率、相位和振幅的正弦波、方波、三角波、锯齿波、白噪声,最后利用信号合成器把两路信号混合起来作为生成的2路模拟信号(The designed virtual spectrum analyzer consists of three sub modules, which are periodic
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:67584
    • 提供者:火爆夏日
  1. 第九章 图形界面编程

    2下载:
  2. 生成一个采样频率2000,由50Hz、120Hz、200Hz正弦信号以及噪声信号组成的数字信号,滤掉150Hz>f>100Hz的频率,计算并显示滤波前后的原始数据波形以及功率谱密度。(generating a sampling frequency of 2000, a digital signal composed of 50Hz, 120Hz, 200Hz sine and noise signals, filter out the frequency of 150Hz>f&
  3. 所属分类:文章/文档

    • 发布日期:2018-05-02
    • 文件大小:227328
    • 提供者:@#asd
  1. ##pinlvji

    0下载:
  2. 单片机频率计 系统采用单片机+分频模块+整形模块+lcd1602液晶显示+按键设计而成。 频率的测量范围为1Hz—20MHz能测量各种周期信号,能测出正弦波、三角波或方波等波形的频率。通过LCD1602液晶显示屏显示检测到的即时频率数值(最多8位数,单位为Hz)(SCM frequency meter system uses single-chip + frequency module + plastic module +lcd1602 LCD + button design. The fr
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-07
    • 文件大小:11211776
    • 提供者:米希儿
  1. dds

    1下载:
  2. 通过按键控制产生任意频率的方波,正弦波,三角波,锯齿波(Fang Bo, sine wave, triangle wave and sawtooth wave at any frequency are generated by key control)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-05-23
    • 文件大小:4139008
    • 提供者:HUMBLE.
  1. 信号发生器

    1下载:
  2. PWM的低频信号发生器 方波正弦波三角波频率可调(PWM low frequency signal generator square wave sine wave triangle wave frequency adjustable)
  3. 所属分类:单片机开发

    • 发布日期:2020-12-11
    • 文件大小:1869824
    • 提供者:QHZ102814
  1. project_V3(注释)

    3下载:
  2. 可以对所给正弦信号进行采样,并判断过零点且输出频率和正弦信号一样的方波信号。用ePWM模块来确定采样频率,来一次中断采样一次,确保采样率。并对所采样的数据进行有效值计算(The given sinusoidal signal can be sampled and the zero-crossing point can be determined and the square wave signal with the same frequency as the sinusoidal signal
  3. 所属分类:DSP编程

    • 发布日期:2019-11-14
    • 文件大小:3078144
    • 提供者:SHAKE1874
« 1 2 ... 10 11 12 13 14 1516 17 18 19 20 ... 27 »
搜珍网 www.dssz.com