CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 流程设计器

搜索资源列表

  1. ModelSimweisijiaocheng

    0下载:
  2. modelsim 使用流程,一个记数仿真器详细设计步骤, FORCE和RUN两个命令解释,TestBench的一个例子。-modelsim using the process, a detailed design of the emulator counting steps, FORCE, and RUN 2 command interpreter, TestBench an example.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:2037533
    • 提供者:cq
  1. Floatboos

    0下载:
  2. 基于、的特征提取方法, 研究、算法的多角度分类器设计问 题在对图像进行主成分分析和独立成分分析后, 针对多姿态角目标识别问题, 提出了角度优先粗分 类的设计方法, 并给出系统流程, 最后-Based, feature extraction methods, research, multi-angle algorithms classifier design problem in the image principal component analysis and independent
  3. 所属分类:Applications

    • 发布日期:2017-04-16
    • 文件大小:323438
    • 提供者:f0700
  1. JS-6B1

    0下载:
  2. JS-6B1/111是一款全I2C控制的频率合成多制式视频电子调谐器,介绍了该芯片的特点、功能。并利用JS-6B1/111设计了电视信号前端处理系统,给出了其硬件结构以及软件流程。-JS-6B1/111 is a full-I2C-controlled frequency synthesizer tuner multi-format Video Electronics introduced the chip' s features and functions. And use JS-6B1
  3. 所属分类:Project Design

    • 发布日期:2017-03-25
    • 文件大小:195833
    • 提供者:张其
  1. voice_dial

    0下载:
  2. 讲述了一款嵌入式语音拨号器的设计原理和程序流程,对相关方面的设计者启发非常大-Describes an embedded voice-dialing device design principles and procedures to process, on the relevant aspects of the designer inspired by a very large
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:259040
    • 提供者:赵富明
  1. TTSapplication

    0下载:
  2. 本次项目通过使用VC6.0环境,调用Microsoft Speech SDK进行开发,创建了一个基于TTS的中英文文本阅读器,对其进行了应用拓展,可以调节语速、音调、读取文件,并且建立了一个使用TTS语音阅读的登录器,使用语音验证码,防止恶意注册。本文主要论述了设计的主要流程思路与核心技术、问题解决过程,包含了主要问题是speak函数的同步与异步实现,UNICODE与ANSI码转化,线程的运行与文件读写,TTS功能完善,语音验证码等。-sounds-reading and sounds deci
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-05-12
    • 文件大小:2693909
    • 提供者:Chensiming
  1. 15883831embeddedlinuxpapersvolum1

    0下载:
  2. 本文研究论述了基于S3C2410 LCD控制器的Linux驱动程序设计与实现,介绍了S3C2410的LCD控制器的数据和控制管脚,并给出了LCD的控制流程和LCD的控制器设置规则,参照TFT-LCD LQ092Y3DG01的逻辑要求和时序要求设计了其驱动电路,设置了主要LCD寄存器;论述了在嵌入式Linux中基于FrameBuffer机制的LCD驱动程序的实现方法。- core interrupt technology research postgraduate study of small p
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-05-09
    • 文件大小:1604118
    • 提供者:郑锐华
  1. edashiyanbaogao_fzu

    0下载:
  2. 福州大学07级eda实验报告。。。一共八九份 包含实验指导书 实验一 利用原理图输入法设计4位全加器 一、实验目的: 掌握利用原理图输入法设计简单组合电路的方法,掌握MAX+plusII的层次化设计方法。通过一个4位全加器的设计,熟悉用EDA软件进行电路设计的详细流程。 -07 eda, Fuzhou University lab reports. . . A total of 89 experimental instructions were included experi
  3. 所属分类:Applications

    • 发布日期:2017-04-05
    • 文件大小:866597
    • 提供者:林明明
  1. S3C2410-init

    0下载:
  2. 基于ARM9芯片S3C2410异常中断程序设计。验证的代码详细分析了基于ARM嵌入式系统的异常处理流程。然后阐明关键字“-irq”的作用,设计出中断处理函数。最后,通过设置中断控制寄存器,设计外部中断EINT3的初始化程序,并给出主程序流程图。实践证明程序运行稳定可靠。-S3C2410 ARM9-based chip exception interrupt programming. Detailed analysis of the code verification of embedded sy
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:31884
    • 提供者:lyq
  1. djj

    1下载:
  2. 本豆浆机电控规格书包括豆浆机电控器的功能说明,原理图,BOM表,工作流程,软件说明等,按照该要求,可实现豆浆机的控制设计.-Electrical control of the soybean milk electrical and mechanical specifications, including the function of controller instructions, schematics, BOM tables, workflow, software, notes, etc.,
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:240673
    • 提供者:li
  1. emluator-design

    0下载:
  2. 本文首先分析了软件调试技术的两种调试模型和硬件调试技术的几种调试方式,并对软硬件调试的优缺点进行了对比分析。然后具体研究了仿真开发平台IshIl框架,仿真运行机制和调试系统的工作流程。最后设计并实现了一种基于仿真开发平台的调试系统。它包含仿真交叉调试和软件OcD调试,提供友好的调试界面,使用统一的调试命令接口,可扩展支持多种调试通信协议和不同协议的调试器。仿真交叉调试子系统根据程序有无操作系统支持,提出了两种调试模型。宿主机端封装GDB调试器为核心,目标机端的调试代理与仿真运行环境管理器协作完成
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-05-15
    • 文件大小:3702890
    • 提供者:李立
  1. CMOS-TannerPL-Edit

    0下载:
  2. 主要内容: • 版图设计概念; • CMOS VLSI制造工艺; • Tanner版图流程举例(反相器)。-Main content: • layout design concepts • CMOS VLSI manufacturing process • Tanner map of the process instance (inverter).
  3. 所属分类:software engineering

    • 发布日期:2017-04-06
    • 文件大小:579314
    • 提供者:kro
  1. tiaojieqi

    0下载:
  2. 设计一种PID数字调节器,该数字调节器采用 STC89C51单片机作为主控单元,采用ADC0832作为A/D转换器,具有PID调节功能,数字调节器具有预置参数、PID 参数可通过键盘调节、采用DS1602 LCD数字显示、并具有数字滤波等功能。同时,文中还给出了此PID数字调节器的硬件电路设计及软件流程和相关的调试程序等。-Design A PID digital adjusters, this digital adjusters adopts STC89C51 microcontroller
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:578693
    • 提供者:占新
  1. analysis-of-NS2-simulation

    0下载:
  2. :网络仿真是研究通信网络技术的有效工具。仿真模拟功能提供了实际网络和仿真器交互的能力。该文介绍了NS一2的结构及网络 仿真的设计流程,重点分析了网络仿真NS一2中仿真模拟功能的设计和实现-: Network simulation is an effective communication network technology research tools. Simulation feature provides a real network and the ability to intera
  3. 所属分类:Communication

    • 发布日期:2017-03-30
    • 文件大小:180540
    • 提供者:天天
  1. er

    0下载:
  2. 设计报站器使用程序代码,方案,电路图,设计流程及相关资料-Design News Station uses the program code, programs, schematics, design process and related information
  3. 所属分类:SCM

    • 发布日期:2017-05-06
    • 文件大小:1467967
    • 提供者:陈建清
  1. Xilinx_ISE_PPT(whole)

    0下载:
  2. Xilinx_ISE_大学计划使用教程PPT(全) Xilinx_ISE_大学计划使用教程PPT_1包括:Xilinx公司产品概述,Xilinx公司软件平台介绍,Xilinx公司ISE10.1软件 设计流程介绍,PicoBlaze的8位微控制器概述,PicoBlaze的简单处理解决方案,PicoBlaze的一个实例,PicoBlaze指令集详解; Xilinx_ISE_大学计划使用教程PPT_2包括: PicoBlaze指令集详解,KCPSM3 汇编器,KCPSM3编程语法,KCPS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7601630
    • 提供者:zbj
  1. SpringMVC-1

    0下载:
  2. spring的MVC设计。基本的MVC标准流程外,Spring MVC还提供了相当多的功能包括丰富的Controller、拦截器和异常处理机制。-spring MVC design. Outside the standard process basic MVC, Spring MVC also provides a considerable number of features include rich Controller, interceptors and exception handlin
  3. 所属分类:Java Develop

    • 发布日期:2017-03-23
    • 文件大小:183860
    • 提供者:曲光帅
  1. Notepad.doc

    0下载:
  2. 简易文本编辑器的设计,其中有设计和操作流程,还含有源代码-It is useful for the C++
  3. 所属分类:Editor

    • 发布日期:2014-09-21
    • 文件大小:422912
    • 提供者:唐自红
  1. 0903040114

    0下载:
  2. 译码器电路源代码的设计流程激励等一些列文件,主要是源代码。-code translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:80502
    • 提供者:徐晓江
  1. pinlvji

    0下载:
  2. 简单介绍EDA技术的发展现状,着重介绍基于EDA技术的可编程逻辑器即八位数字频率计的设计方案选择、原理图设计输入、原理图编译和仿真等操作,比较完整的说明了八位数字频率计的功能与作用和使用QuartusII软件进行可编程逻辑器件设计的操作流程。 -A brief introduction of EDA technology development present situation, introduced the EDA technology based on programmable log
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:990799
    • 提供者:zhanglun
  1. LLVDDSzipV

    0下载:
  2. 以LVDS设计为例学习ISE中的时序分析和低层布局器的使用方法 在底层布局器中对LVDS管脚进行约约束的方法,底层布局器设计流程,底层布局器中的位置约束,时序分析器的使用方法,时序改进向导的使用等. -Location constraints, timing analyzer designed to LVDS LVDS pin about constraints in the underlying layout example to learn the use of the ISE timi
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-15
    • 文件大小:130628
    • 提供者:zzhp2010
« 1 2 3 4 56 »
搜珍网 www.dssz.com