CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 测频

搜索资源列表

  1. Cchengxu

    0下载:
  2. AD采样,数字测频器,直流稳压电源,液晶显示和键盘数码管显示-AD sampling, digital frequency measurement, DC power supply, LCD display and keypad LED display
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-16
    • 文件大小:9896
    • 提供者:gelichun
  1. f

    0下载:
  2. 是本人写好的单片机测频程序,方便移植和应用-I have written a single chip frequency measurement procedures, facilitate the transfer and application of
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:1617
    • 提供者:李小
  1. 4-10-VHDL-f1

    0下载:
  2. 四位10进制VHDL频率计设计说明 四位频率计的结构包括一个测频率控制信号发生器、四个十进制计数器和一个十六位锁存器(本例中所测频率超过测频范围时有警示灯)。-Four 10-digit frequency counter VHDL design descr iption of the structure of the four frequency meter includes a measuring frequency control signal generator, four deci
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:53919
    • 提供者:韦昊斯
  1. vibrate_pwm_project

    0下载:
  2. 该代码工程是正弦式传感器的测频程序,是在MSP430上使用的。该扫频算法具有较好的参考价值。推荐下载,学习-failed to translate
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-30
    • 文件大小:17178
    • 提供者:庄晓奇
  1. etester(bk)

    0下载:
  2. 等精度测频程序,VHDL语言编写,已编译通过,放心使用。-Procedures such as precision frequency measurement, VHDL language, compiled by, ease of use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:840
    • 提供者:wdd85
  1. fosc

    0下载:
  2. 说明: 测频程序, 测量范围在15HZ - 65MHZ, 频率从T0口输入*/-Note: frequency measurement procedures, measurement range 15HZ- 65MHZ, frequency from T0 input port* /
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:940
    • 提供者:李川
  1. ptive

    0下载:
  2. 虚拟仪器与FPGA的快速高精度自适应测频设计-Virtual Instrument and fast FPGA Design High Frequency Adaptive
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:317380
    • 提供者:cat
  1. frequency_test_avr

    0下载:
  2. AVR做的频率计,基ucOS2操作系统.测频范围 10Hz-60 Khz。测量精度高,范围大。-AVR to do the frequency, the operating system based ucOS2,welcome to read when you are studying AVR microcpu.
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:989898
    • 提供者:陈明
  1. of

    0下载:
  2. 注入锁定振荡器在瞬时测频和频率复制中的应用-Injection locked oscillator frequency measurement and frequency in the instantaneous replication
  3. 所属分类:Project Design

    • 发布日期:2017-04-17
    • 文件大小:511346
    • 提供者:week99
  1. 2011051103

    0下载:
  2. 测频仪单片机源程序Word版,可能会对大家对单片机的学习有一定的帮助!-Word frequency measurement instrument microcontroller source code version of the microcontroller may we be helpful to learn!
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:22318
    • 提供者:wangbin
  1. plj

    1下载:
  2. 频率计 测周法 测频法 汇编语言 89cs51-Frequency measurement Frequency Measurement week method of assembly language 89cs51
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:2992
    • 提供者:
  1. pljcx

    0下载:
  2. 测频控制 锁存器 计数器 顶层文件 -Frequency counter top-level file control latch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4468
    • 提供者:
  1. ceping

    0下载:
  2. 基于INTEL486环境下的DOS测频通讯输入输出程序-Under the DOS-based INTEL486 communication input and output frequency measurement Procedure
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-05
    • 文件大小:1571
    • 提供者:李丽
  1. 1

    0下载:
  2. 一个VHDL实现的测频计 LIBRARY ieee USE ieee.std_logic_1164.all USE ieee.std_logic_arith.all USE ieee.std_logic_unsigned.all ENTITY freq IS PORT( Fsignal : IN std_logic -- Rst : IN std_logic Gate : IN std_logic Ready : OUT std_lo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1307
    • 提供者:陈强
  1. PINLVJI

    0下载:
  2. 单片机的频率计设计,测量范围:0.1Hz~4MHz,闸门时间:0.05s~10s可调。采用的是测频的方法-Single-chip frequency counter design, measuring range: 0.1Hz ~ 4MHz, gate time: 0.05s ~ 10s adjustable. Uses a method of measuring frequency
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:3528
    • 提供者:林木森
  1. FreqphaseMeasurement

    0下载:
  2. 测频测相取模值(ARM)(模块化)(ad)(100为基频,按键0-9控制)-Frequency measurement test phase take modulus (ARM) (modular) (AD) (100 for a frequency, button 0-9 control
  3. 所属分类:SCM

    • 发布日期:2017-05-13
    • 文件大小:3323028
    • 提供者:阚炜107
  1. 0703

    0下载:
  2. mega16 下 波形发生器 基本功能+测频 部分源码-mega16 waveform generator under frequency measurement part of the basic functions+ source code
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1554
    • 提供者:qunat
  1. cepin

    0下载:
  2. 本程序是基于mega16的捕获测频系统,经测试可行!-This procedure is based on the frequency measurement system mega16 capture, tested possible!
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:28889
    • 提供者:程一飞
  1. temp

    0下载:
  2. 课程设计 测频程序 线性插值测温度5度标定 我得单片机课程设计 做了两天的 用555定时器和51单片机的测频程序组成的景区温度测量电路 用线性插值的方法测量 很准的 10k的NTC电阻 1602显示-Frequency measurement program curriculum design temperature of 5 degrees linear interpolation of measured single-chip calibration I have to do a two-d
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-09
    • 文件大小:1623174
    • 提供者:yuwei
  1. counter

    0下载:
  2. 本文介绍了基于FPGA的数字频率计的设计方法,设计采用硬件描述语言Verilog ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。-This article describes the FPGA-based digital frequency meter design method using hardware descr iption language Verilog, ISE on t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1879701
    • 提供者:PengJ
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 25 »
搜珍网 www.dssz.com