CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 状态机

搜索资源列表

  1. FSM_Moore

    0下载:
  2. Moore型有限状态机设计,设计软件quartus,有详细注释-Moore-type finite state machine design, design software, quartus, with detailed notes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:114604
    • 提供者:wangchenlin2000
  1. sale-machine-

    0下载:
  2. 一个FPGA的自动售货机程序,状态机部分很详细,值得参考-A vending machine program the FPGA, the state machine part of the very detailed and valuable reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:9410
    • 提供者:边辰
  1. fsm

    0下载:
  2. 状态机,描述五个不同状态的触发条件,运用流水线技术-State machine, described five different states of the trigger conditions, the use of pipelining
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1407
    • 提供者:陈国宏
  1. duble-process-lock

    0下载:
  2. 编写由两个主控进程构成的与上述功能相同的符号化Moore型有限状态机-The process of writing composed by two main control functions with the same symbol of Moore-type finite state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:661
    • 提供者:denwei0011
  1. State-machine-programming

    0下载:
  2. 状态机编程 实验内容 1. Gray 编码和One-hot 编码两种状态机; 2. 触发器部分和组合逻辑部分结合与分开两种状态机。 -State machine programming experiment content 1. Gray coding and encoding two One-hot state machine 2 trigger part and the combinational logic part of the combination of t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:174466
    • 提供者:张云
  1. enhance-the-robust-of-485-by-CRC

    0下载:
  2. 主要演示如何使用CRC以及状态机方式,增强485通讯的可靠性,请参考-Code is relatively simple, the main demonstration how to use the CRC as well as other ways to enhance the reliability of 485
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-28
    • 文件大小:100013
    • 提供者:牛二
  1. state-machine

    0下载:
  2. 基于LABVIEW的状态机源代码,NI公司指定中国唯一供应商泛华测控公司提供的内部培训资料。需要用LABVIEW8.6以上版本打开.-LABVIEW-based state machine source code, NI designated China as the sole supplier of Pan-house training provided by the company and control information. Need LABVIEW8.6 later on.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-30
    • 文件大小:12997
    • 提供者:马月鹏
  1. AD_fsm

    0下载:
  2. AD7892的状态机VHDL代码。完成时序控制,4通道数据采集和AD转换。-the FSM of AD7892
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1354
    • 提供者:马寅
  1. Multi-function-key

    0下载:
  2. 阐述了采用状态机思路实现多功能按键检测的方法。其中包括单击,双击,长击-Idea of ​ ​ a state machine described to achieve multi-function button detection. These include click, double click, long click
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:15175
    • 提供者:hzl
  1. states

    0下载:
  2. 红绿灯程序,通过状态机,实现东西主干道,南北辅干道的十字路红绿灯控制。-Traffic light program, through the state machine to achieve the things the main road, secondary roads north-south traffic light controlled crossroads.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:172544
    • 提供者:木易
  1. How-to-write-by-verilog

    0下载:
  2. 如何写好状态机,用verilog。状态机很方便。-How to write a state machine, with verilog. State machine is very convenient.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:294489
    • 提供者:洪峰
  1. Program

    0下载:
  2. 用VHDL状态机设计一个8位序列信号检测器。-Design a state machine in VHDL 8-bit serial signal detector.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:551
    • 提供者:釉雪Dreamer
  1. Program2

    0下载:
  2. 将8位待测预置数作为外部输入信号,即可以随时改变序列检测器中的比较数据。写出此程序的符号化单进程有限状态机。-The 8-bit pre-measured as the number of external input signal, which can change at any time in the sequence comparison of the data detector. Write the symbol of this process a single process fini
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:530
    • 提供者:釉雪Dreamer
  1. mealy_sequence

    0下载:
  2. 实现米粒状态机 用verilog语言实现状态机的过程-Implement a state machine with a grain of rice verilog state machine language course
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:142870
    • 提供者:陈娅娟
  1. State-Machine-Proj

    0下载:
  2. 介绍labvIEW中状态机的设计及应用,同过状态图得到labvIEW程序。-The state machine in labvIEW design and application, with the state diagram of labvIEW program.
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:53148
    • 提供者:duchvy
  1. fsm

    0下载:
  2. 有限状态机的封装宏处理,统一有限状态机的管理-Finite state machine macro processing package, a unified management finite state machine
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-08
    • 文件大小:2449
    • 提供者:秦军芳
  1. src

    0下载:
  2. 基于状态机思想的独立按键检测程序,可检测短按、长按-Independent thinking based on state machine key testing procedures can detect short press, long press
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:2400
    • 提供者:LI
  1. src

    0下载:
  2. 基于状态机思想的矩阵键盘检测程序,可检测长按和短按-Thinking based on a matrix keyboard state machine testing procedures, can be detected by long and short press
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:2426
    • 提供者:LI
  1. demosolution1.20061006.a

    0下载:
  2. 状态机的报销工作流,测试过可直接运行! 适合初学者参考学习.-Reimbursement of state machine workflow, can be directly tested to run! Reference for beginners to learn.
  3. 所属分类:CSharp

    • 发布日期:2017-04-16
    • 文件大小:184884
    • 提供者:杨杨
  1. state_machine

    0下载:
  2. 状态机控制步进电机 配套开发板型号:A-C8V4-Stepper motor control state machine model supporting the development board: A-C8V4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1084
    • 提供者:杨瑞
« 1 2 ... 40 41 42 43 44 4546 47 48 49 50 »
搜珍网 www.dssz.com