CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 状态机

搜索资源列表

  1. FPGA_Sample

    0下载:
  2. FPGA的一些入门程序,包括跑马灯、状态机、USB2.0接口、串口等等。可自己看-Some FPGA-entry procedures, including marquees, state machine, USB2.0 interface, serial ports and so on. Can look up
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-08-29
    • 文件大小:15430656
    • 提供者:赵伟
  1. FSM_CPP

    0下载:
  2. 讲解有限状态机的C++实现,主要用于网络编程和游戏的AI编程,思想很好-To explain the finite state machine in C++, mainly used for network programming and game AI programming, a good idea
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-04-16
    • 文件大小:18063
    • 提供者:赵伟
  1. ztjt

    1下载:
  2. 状态机图是系统分析的一种常用工具,它描述了一个对象在其生命期内所经历的各种状态,以及状态之间的转移,发生转移的原因,条件和转移中所执行的活动。-about c
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:403737
    • 提供者:秋鸣声
  1. classic-state-machine-C-code

    0下载:
  2. 4种经典状态机C代码,代码详细,可供学习者参考-Four kinds of classic state machine C code
  3. 所属分类:SCM

    • 发布日期:2017-03-22
    • 文件大小:60125
    • 提供者:栀子花
  1. FSM

    0下载:
  2. 一个简单的有限状态机(FSM)的例程:检测二进制序列“11001”-A simple FSM routines: testing the binary sequence "11001"
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:127736
    • 提供者:城管111
  1. cpu_fsm.tar

    0下载:
  2. cpu的verilog的不同状态的状态机实现程序编写-write or reset or read or delay of CPU by verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:49953
    • 提供者:bob
  1. Chapter-8

    0下载:
  2. 练习八利用有限状态机进行时序逻辑的设计322 -• Source code of designs in chapters 1 to 8. • Chapter 8 designs include the SAYEH processor, its C Compiler, and its Sort program run files. • Several Designs, including SAYEH, that are programmed on
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:336324
    • 提供者:shixiaodong
  1. GCD1

    0下载:
  2. GCD算法的FSMD实现。即利用有限状态机和数据路径-GCD algorithm order which FSMD using finite state machine and data path
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:847
    • 提供者:mockmoon
  1. gcd2

    0下载:
  2. GCD算法的FSM+D实现。即利用有限状态机和数据路径分开-GCD algorithm of the FSM + D realize it is using finite state machine and data path separate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1769
    • 提供者:mockmoon
  1. ztj

    0下载:
  2. 各种类型的状态机,单双进程,mealy,moore值得你拥有-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1053932
    • 提供者:zhu8qing
  1. jiaotongdeng

    0下载:
  2. 此程序为另一交通灯程序,由状态机组成,有一开始按钮、一清零按钮。按下开始后,开始一系列的状态循环。已仿真成功。-This program is another traffic light program, the state machine composed of a start button, a Clear button. Press Start, began a series of state cycle. Simulation has been successful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:320093
    • 提供者:xun
  1. state_machine

    0下载:
  2. 基于FPGA的 状态机控制步进机代码,实现步进机的运转-Based on the FPGA state machine control stepper machine code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1079
    • 提供者:
  1. zhuangtaiji

    0下载:
  2. 用状态机实现序列检测器的设计,并对其进行仿真和硬件测试。-With the sequence detector state machine design, and its simulation and hardware testing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:11217
    • 提供者:shangyan
  1. alarm_judge

    0下载:
  2. 基于VHDL语言的闹钟音乐模块。改变状态机中的乐谱可以实现不同音乐-music unit based on VHDL. Changing rhymth in state machine in order to play different music.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1015
    • 提供者:Zhang
  1. I2C_9883_60

    0下载:
  2. I2C配置程序,通过状态机将数据写入从机,并实现配置-I2C Configuration program, the state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1729
    • 提供者:陈翠莹
  1. EDA-experiments-based-on-VHDL

    0下载:
  2. 上传的文件包括E有关EDA实验的程序,比如FIFO,秒表,数字钟,七段数码管,状态机检测序列-The files uploaded contain some source code of EDA experiments based on VHDL, such as FIFO, digital clock, stop watch, digital tubes and sequential detector.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:4093
    • 提供者:shi xin
  1. EDA

    1下载:
  2. 彩灯控制系统及状态机程序设计,主要源程序及仿真图、状态图-Lights control system and state machines
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:764254
    • 提供者:jinfeng
  1. machine-design-

    0下载:
  2. 状态机实现序列检测器的设计,了解一般状态机的设计与应用-State machine to implement sequence detector design, understand the general state machine design and application
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:73928
    • 提供者:李月兰
  1. Nixie-tube

    0下载:
  2. 这是一个verilog HDL语言代码,主要利用状态机控制数码管,从0到9循环显示。-This is a verilog HDL language code, the main use state machine control digital tube, from 0 to 9 cyclic display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:293069
    • 提供者:王郑帼
  1. traffic_light

    0下载:
  2. 基于VHDL的交通灯,状态机实现,FPGA参考小程序-VHDL-based traffic lights, the state machine to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3503
    • 提供者:mend
« 1 2 ... 41 42 43 44 45 4647 48 49 50 »
搜珍网 www.dssz.com