CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 矩阵键盘

搜索资源列表

  1. Verilog.rar

    0下载:
  2. verilog HDL 4×4矩阵键盘驱动程序包括硬件电路图,verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:40371
    • 提供者:柳澈
  1. 4x4矩阵键盘扫描程序

    0下载:
  2. 4x4矩阵键盘扫描程序.1asm.txt
  3. 所属分类:嵌入式/单片机编程

  1. scan_LED

    0下载:
  2. 1) 输入设备为4*4矩阵键盘,分别代表0~F; 2) 输出设备为四位数码显示管,初始值显示0000,当按下某一键时,最右边的一位数码显示管显示最新一次所按按键的数值,而之前的显示值左移,例如,第一次按‘1’键,则显示0001;第二次按‘3’键,则显示0013;第三次按‘5’键,则显示0135;第四次按‘7’键,则显示1357;第五次按‘9’键,则显示3579,第四次按‘F’键,则显示579F-1) input device for the 4* 4 matrix keyboard,
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:199845
    • 提供者:王广玉
  1. 12864

    1下载:
  2. 51单片机驱动12864液晶屏显示,通过矩阵键盘输入数字,在液晶屏上显示。C语言编程,Proteus仿真成功,包含源代码和仿真工程,下载即可运行-51 Microprocessor 12864 LCD display, keyboard input through the matrix of numbers, displayed on the LCD screen. C language programming, Proteus simulation successfully, includin
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:93671
    • 提供者:崖山再起
  1. calculator

    3下载:
  2. 用VHDL在quartus2下实现的计算器。输入为4*4矩阵键盘,输出为共用数据线的数码管。可以实现简单数学运算、逻辑运算、进制转换、连续运算等功能。-Using VHDL in quartus2 achieve calculator. Input 4* 4 matrix keyboard, the output data lines for sharing of digital control. Can achieve a simple mathematical operations, log
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-30
    • 文件大小:1276791
    • 提供者:jizhen
  1. keyscaner

    1下载:
  2. 自己设计的矩阵键盘扫描程序,实现5X4键盘扫描,带有去抖和中断功能。-Their own design matrix keyboard scanner, to achieve 5X4 keypad scanning, to shaking and interrupt functions with.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-04-12
    • 文件大小:1802
    • 提供者:杨明
  1. keyscan

    0下载:
  2. 4*4矩阵键盘单片机c语言驱动 按键消抖-I don t know!!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-23
    • 文件大小:12561
    • 提供者:杜帮胜
  1. FPGA_Interface_verilog

    0下载:
  2. verilog数字接口实验程序,包括USB,矩阵键盘,蜂鸣器,串口,i2c总线接口程序实例。-verilog digital interface for experimental procedures, including the matrix keyboard, buzzer, serial, i2c bus interface program instance.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2796130
    • 提供者:wylie
  1. keyboard

    0下载:
  2. 2440 矩阵键盘驱动 测试没有问题 5*4按键-Matrix keyboard driver 2440
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-28
    • 文件大小:13802
    • 提供者:保密
  1. KayScan

    0下载:
  2. 4×4矩阵键盘检测程序驱动,内含详细的注释-4 × 4 matrix keyboard-driven testing procedures, including detailed notes
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:892
    • 提供者:
  1. jianpan

    0下载:
  2. 用FPGA单片机软核实现键盘扫描,键盘为4X4矩阵键盘,输入相应键值,用数码管显示-keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:5071744
    • 提供者:
  1. KeyTest

    0下载:
  2. WINCE 5.0下矩阵键盘测试程序, EVC4.0下测试通过!-WINCE 5.0 keyboard test procedure under the matrix, EVC4.0 test passed!
  3. 所属分类:Windows CE

    • 发布日期:2017-04-09
    • 文件大小:1303923
    • 提供者:流域
  1. keyboard

    0下载:
  2. 基于S3C2410A矩阵键盘扫描程序,GPIO及EINT-Based on S3C2410A matrix keyboard scanner, GPIO and EINT
  3. 所属分类:Communication

    • 发布日期:2017-03-26
    • 文件大小:1723
    • 提供者:aaron
  1. GEC_2410-driver

    0下载:
  2. 我自己编的基于arm9的矩阵键盘驱动,初学者可以通过这个源代码了解linux驱动程序的基本结构。-I own matrix ARM9-based keyboard-driven, beginners can use the linux source code to understand the basic structure of the driver.
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-05
    • 文件大小:3778
    • 提供者:王奎澎
  1. Keyboarddriver

    0下载:
  2. 4*5矩阵键盘驱动,c代码,成熟产品验证-4* 5 matrix keyboard driver, c code, mature product authentication
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:1211
    • 提供者:Ken
  1. key-led

    0下载:
  2. key-led 矩阵键盘输入号码 数码管显示(6位)-key-led matrix keyboard input numbers digital tube display (6)
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:11950
    • 提供者:丁一
  1. juzhengjianpan

    0下载:
  2. 矩阵键盘,采用中断方式,能完成单片机多种功能选择-Matrix keyboard, using interrupt method to complete single-chip multi-functional selection
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-01
    • 文件大小:1180
    • 提供者:kevin
  1. FDGFD

    0下载:
  2. 本系统由单片机系统、矩阵键盘、LED显示和报警系统组成。系统能完成开锁、超时报警、超次锁定、管理员解密、修改用户密码基本的密码锁的功能。除上述基本的密码锁功能外,还具有调电存储、声光提示等功能,依据实际的情况还可以添加遥控功能。本系统成本低廉,功能实用-The system consists of single-chip microcomputer system, matrix keyboard, LED display and alarm system. System to complete
  3. 所属分类:Project Manage

    • 发布日期:2017-03-30
    • 文件大小:128720
    • 提供者:yehailong
  1. keyboard-driver

    0下载:
  2. 单片机键盘驱动资料,包括键盘接口技术介绍、扫描法矩阵键盘驱动程序、行列反转法矩阵键盘驱动程序、AT89S52单片机键盘接口原理图等。程序有详细的注释。资料基于AT89S52单片机系统,键盘为4×4矩阵键盘。-Singlechip keyboard-driven information, including the keyboard interface technology, the scanning matrix keyboard driver, the ranks of matrix inve
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:70229
    • 提供者:老树
  1. key_matrix44

    1下载:
  2. FPGA EP1C6Q240C8 4*4键盘模块 4*4矩阵键盘,采用扫描方式检测按键-FPGA EP1C6Q240C8 4* 4 keyboard module 4* 4 matrix keyboard, using scanning detection button
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-23
    • 文件大小:278801
    • 提供者:lan
« 1 2 3 45 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com