CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 秒表

搜索资源列表

  1. miaobiao

    0下载:
  2. 一个简单的秒表C++程序程序,开发环境:vc6
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:29357
    • 提供者:正中
  1. LCD1602_clock

    0下载:
  2. 使用51些列单片机实现秒表计数功能,并有定时程序,其中包括了LCD1602的初始化,操作等等,本实例已在AT89S51,STC系列单片机上试验通过
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:19088
    • 提供者:吕坤
  1. 51MCU

    0下载:
  2. 51单片机电子日历程序代码 有时间显示、闹铃、日期、秒表及键盘设置功能
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-18
    • 文件大小:4537
    • 提供者:陈星
  1. eletric_clock

    0下载:
  2. 一款基于VC编写的显示日期时间的液晶的电子表,带秒表功能,非常逼真!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:80122
    • 提供者:张林
  1. watch

    0下载:
  2. 在学习VxWorks I/O 系统功能的基础上,了解的基本I/O、缓冲I/O 及格式化I/O、文件描述符、标准输入/输出/错误设备的使用。对VxWorks I/O 系统中常用的API 有较为深入的理解。实践者对I/O 系统的理解和使用的熟练程度将影响后续实践环节进行顺利与否。通过I/O 系统API 与应用程序交互,能方便地对所调试的系统进行监控,是实时嵌入环境软硬件开发的主要手段之一。实现终端显示的秒表。精确到1/10 秒。可键盘控制暂停/继续计时/复位功能。
  3. 所属分类:VxWorks

    • 发布日期:2008-10-13
    • 文件大小:966
    • 提供者:王昊
  1. danpianjimiaobiaochengxudaizhushi

    0下载:
  2. 一个单片机秒表的程序 很简单 但比较实用 适合初学者
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1800
    • 提供者:知未
  1. Cmiaobiao

    0下载:
  2. C语言编写的秒表,比较好,不需要解压密码
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:5595
    • 提供者:cj
  1. miaobiao

    0下载:
  2. 详细编制了单片机秒表的C语言程序开发,并实现了功能
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:13653
    • 提供者:LIGANG
  1. time1

    0下载:
  2. 电子时钟,可以通过M键转换功能,其中包括日期,时间,秒表等功能。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1711
    • 提供者:pengming
  1. ASM

    0下载:
  2. 数码管显示秒表(AT89S52),供单片机学习者参考。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:14263
    • 提供者:
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. shuzizhong

    0下载:
  2. 基于at89s51单片机的数字钟程序 有闹钟秒表等功能
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:5802
    • 提供者:licheng
  1. Shutdown

    0下载:
  2. VC++6.0写的可以隐藏窗口的定时关机程序,带可以准确(精确到ms)计时的秒表。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:33648
    • 提供者:潜龙
  1. zhinengWACTH

    0下载:
  2. 单片机编程:智能电子表设计:日历、时钟、秒表、定时器、温度计
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3045
    • 提供者:loser
  1. suzimiaobiao

    0下载:
  2. 这个数字秒表写的很清楚,大家如果需要我还有一些资料!~
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:95639
    • 提供者:gaoshuang
  1. chengxu

    0下载:
  2. 关于交通灯、秒表及一些简单的音乐播放程序
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:7363
    • 提供者:晓梦
  1. miaobiao

    0下载:
  2. 实现秒表的功能。能精确到0.01位。最多能计时1个小时。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:865515
    • 提供者:杨德勇
  1. mb

    0下载:
  2. 秒表的程序,能够实现记时,倒计时,键盘的控制
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2054
    • 提供者:奔驰
  1. stop_watch

    0下载:
  2. 采用Quartus2编写的电子秒表电路 实现计时、暂停等功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:349373
    • 提供者:gz208
  1. timeclock

    0下载:
  2. 数字钟在日常生活中最常见,应用也最广泛。本文主要就是设计一款数字电子时钟钟,以AT89C51单片机为核心,四位一体共阴数码管显示模块、轻触开关做功能设计等功能模块。本数字电子时钟采用24小时制方式显示时间和星期,及年月日显示等功能,同时还具有闹钟,定时,秒表的功能。 文章的核心主要从硬件设计和软件编程两个大的方面。硬件电路设计主要包括单片机,按键,数码管显示,电源等几部分组成。软件用汇编语言来实现,主要包括主程序、显示子程序、时钟子程序、定时子程序、秒表子程序等软件模块。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:4072
    • 提供者:rockyta
« 1 2 ... 10 11 12 13 14 1516 17 18 19 20 ... 50 »
搜珍网 www.dssz.com