CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 秒表 vhdl

搜索资源列表

  1. miaobiao

    0下载:
  2. 用VHDL实现的秒表功能,包括分频器,动态显示模块-VHDL implementation with stopwatch functions, including crossover, dynamic display module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:333273
    • 提供者:miaoxiaohu
  1. shuzimiaobiao

    0下载:
  2. 秒表设计中的分块模块的设计,运用VHDL语言编写-Stopwatch design block module design, the use of VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:76882
    • 提供者:林泽宇
  1. watch

    0下载:
  2. 本文件为电子设计而开发的多功能数字钟VHDL语言完整源代码 --该数字钟实现的功能有时间,秒表,闹钟,年月日的显示设置等 -This document is multi-functional electronic design and development of a complete VHDL, digital clock source code- the digital clock function can be achieved time, stopwatch, alarm clo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:526451
    • 提供者:钟祥
  1. digital_clock

    0下载:
  2. 用VHDL语言实现常用的数字秒表,并在Sparten3E FPGA上运行通过。-VHDL language commonly used with a digital stopwatch, and Sparten3E FPGA run through.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-19
    • 文件大小:29535609
    • 提供者:刘勇
  1. second

    0下载:
  2. 在QuarterII环境下开发 应用VHDL语言编写的秒表程序 能够用于计时-Development and application environment in QuarterII VHDL program can be written in stopwatch for timing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:318830
    • 提供者:tom
  1. count60

    0下载:
  2. 基于FPGA的VHDL的秒表计时器程序,希望有助于FPGA初学者。 -I down know。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:25991
    • 提供者:周健
  1. seccount

    0下载:
  2. 用VHDL语言设计电子数字秒表。包含相关文件及说明,用户可以在Xilinx ISE 环境下运行。-With VHDL language design digital stopwatch. Contains the corresponding code and all documents. Users can Xilinx ISE environment in operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:662257
    • 提供者:chenlan
  1. rar

    0下载:
  2. 51单片机实现的时钟,有调时和秒表功能,用vhdl语言实现-51 MCU clock, and stopwatch function when it has been argued, with vhdl language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:54336
    • 提供者:王雨辰
  1. digital-electronic-clock

    0下载:
  2. 基于VHDL的数字电子时钟的设计 实现计时,秒表,闹钟功能-VHDL-based design implementation digital electronic clock timer, stopwatch, alarm clock function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:215952
    • 提供者:min
  1. stopwatch1

    0下载:
  2. 用vhdl实现的数字秒表,显示四位值,最大计时时间为99.99s,全部通过验证,并且在FPGA上得到很多的结果-Using vhdl implementation of the digital stopwatch display four values, the maximum time time 99.99s, all validated, and get a lot of the FPGA results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:599222
    • 提供者:donglaidongqu
  1. VHDLxiaochengxu

    0下载:
  2. 一些简单的VHDL小程序。 VHDL 小程序源代码: led七段译码 简单调用 秒表 元件例化-Some simple VHDL applet. Small VHDL source code: led seven segment decoding simple example of calling a stopwatch components
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:3457
    • 提供者:高飞
  1. miaobiaosheji

    0下载:
  2. 设计 秒表 VHDL 利用分频 计数 显示等模块实现秒表功能-VHDL design using frequency counts stopwatch display module stopwatch function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:6802
    • 提供者:语庄
  1. stopwatch

    0下载:
  2. 59.59七段数码管VHDL语言编写秒表-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:777
    • 提供者:王红阳
  1. clock

    0下载:
  2. 数字秒表计数 vhdl 译码器 分频器 计数器 报警器-stopwatch counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:712256
    • 提供者:sandra
  1. watch

    0下载:
  2. QuartusII 应用vhdl语言,实现秒表的设计,有暂停键,清零键等功能-QuartusII vhdl language, stopwatch design, Pause, cleared key functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:286302
    • 提供者:张晓瑞
  1. miaobiao

    0下载:
  2. 实验课编写的vhdl程序,秒表适用!具体功能是开始计时,停止,清零!经实验,完美运行!-Vhdl program written by the Lab, stopwatch applicable! Specific start time, stop, clear! The experiment, a perfect run!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1186
    • 提供者:
  1. rungametiming

    0下载:
  2. 这是一个计时器,起到秒表的作用,用vhdl实现-this is a watch used to get the time each runner takes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1028
    • 提供者:zz
  1. DE2_lcd_clk

    0下载:
  2. 用VHDL写的在DE2开发板上的LCD实现的秒表程序-DE2 development board LCD stopwatch program written in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:507543
    • 提供者:zhaochengru
  1. wtut_vhd

    0下载:
  2. spartan 3E 1600开发板的秒表计时器源程序,VHDL语言-source code of timer on spartan 3E1600 development board in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:471896
    • 提供者:zhangjianghan
  1. watch_sc4

    0下载:
  2. 用VHDL语言设计一个简易秒表,开发工具是xilinx,有重置功能-design a second-counting watch with Language VHDL and platform xilinx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:249429
    • 提供者:张文莲
« 1 2 3 4 5 67 8 »
搜珍网 www.dssz.com