CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 蜂鸣器测试

搜索资源列表

  1. test_buzzer

    0下载:
  2. 用于测试蜂鸣器或者扩音器放音功能的简单例程。-Used to test the buzzer or loudspeaker playback functions simple routines.
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:28820
    • 提供者:Wood Jungle
  1. EP2C8-2010_FPGA

    0下载:
  2. EP2C208C8 FPGA开发源代码(芯蓝C8板) turn_on_led 点亮LED sw_led 拨动开关控制LED rider_led 跑马灯 water_led 流水灯 key_led_without_debounce 轻触开关控制LED,无按键去抖 key_led_with_debounce 轻触开关控制LED,有按键去抖 seg7x8_dynamic_disp 七段数码管动态显示 matrixKeyb
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3846240
    • 提供者:wqc
  1. piezo

    0下载:
  2. FPGA上的嵌入式程序设计,由FPGA控制蜂鸣器发声,在ML507平台上测试通过。-Embedded program on FPGA,FPGA generate audio source,and tested pass on ML507 plateform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:7856
    • 提供者:Zhang Qiang
  1. A-C8V4

    1下载:
  2. 淘宝畅销FPGA开发板的A-C8V4 电路图及例子 9实验九:利用语言实现3-8译码器 10实验十:利用语言实现按键和数码管显示 11实验十一:利用语言实现乘法器数码管显示 …… 18实验十八:利用语言实现蜂鸣器唱歌 23实验二十三:利用语言实现LCD1602显示 24实验二十四:利用语言实现LCD12864显示汉字 25实验二十五:利用程序实现串口RS232与电脑通信 28实验二十八:利用程序实现VGA显示RGB彩条信号 31实验三十一:利用程序实
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:12894094
    • 提供者:liyang
  1. contex-A8-buzzer-driver

    0下载:
  2. contex A8 蜂鸣器裸机的C语言编程,能在tiny210在运行,测试成功!-Contex A8 buzzer bare-metal of C language programming, can in tiny210 running, test success!
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-03
    • 文件大小:1559
    • 提供者:苏首政
  1. 130110

    0下载:
  2. 控制蜂鸣器pic18单片机程序,测试通过,已批量生产。-Pic18 microcontroller program to control the buzzer
  3. 所属分类:Compiler program

    • 发布日期:2017-04-25
    • 文件大小:30544
    • 提供者:s_y.j
  1. LEDbuzzer

    0下载:
  2. 6410开发板led蜂鸣器模块,驱动及测试程序。实验环境:硬件:UP-Magic 魔法师实训平台,蜂鸣器 LED 灯模块,PC 机 Pentium 500 以上, 硬盘 40G 以上,内存大于 256M;软件:Vmware Workstation +fedora8 + MiniCom/Xshell + ARM-LINUX 交叉编译开发环境。-6410 development board led buzzer module, driver and testing procedures. Exper
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-30
    • 文件大小:190755
    • 提供者:张扬
  1. LCD(0107)

    0下载:
  2. 6410开发板LCD模块,驱动及测试程序。实验环境:硬件:UP-Magic 魔法师实训平台,蜂鸣器 LED 灯模块,PC 机 Pentium 500 以上, 硬盘 40G 以上,内存大于 256M;软件:Vmware Workstation +fedora8 + MiniCom/Xshell + ARM-LINUX 交叉编译开发环境。-6410 development board LCD module, driver and testing procedures. Experimental en
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:313894
    • 提供者:张扬
  1. CAN(0202)

    0下载:
  2. 6410开发板CAN模块,驱动及测试程序。实验环境:硬件:UP-Magic 魔法师实训平台,蜂鸣器 LED 灯模块,PC 机 Pentium 500 以上, 硬盘 40G 以上,内存大于 256M;软件:Vmware Workstation +fedora8 + MiniCom/Xshell + ARM-LINUX 交叉编译开发环境。-6410 CAN module development board, the driver and testing procedures. Experimenta
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-04
    • 文件大小:308705
    • 提供者:张扬
  1. hall

    0下载:
  2. 6410开发板干簧管-霍尔开关模块,驱动及测试程序。实验环境:硬件:UP-Magic 魔法师实训平台,蜂鸣器 LED 灯模块,PC 机 Pentium 500 以上, 硬盘 40G 以上,内存大于 256M;软件:Vmware Workstation +fedora8 + MiniCom/Xshell + ARM-LINUX 交叉编译开发环境。-6410 development board reed- Hall switch module, driver and testing procedur
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-16
    • 文件大小:252649
    • 提供者:张扬
  1. fmq

    0下载:
  2. 控制单片机蜂鸣器发声,C语言代码,测试通过-Control the occurrence of single-chip buzzer
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:143301
    • 提供者:hai
  1. Music-Test

    0下载:
  2. 这是一个关于单片机的开发程序,是基于51的蜂鸣器的音乐源码测试-This is a development program on the microcontroller is based on the buzzer 51 music source test
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:17882
    • 提供者:杨国东
  1. fengmingq

    0下载:
  2. 蜂鸣器控制C语言程序,已经通过硬件测试-Buzzer control procedures have been tested by the hardware. . .
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:18904
    • 提供者:刘刚
  1. test1

    0下载:
  2. 一个upd48f0485下的测试程序段,控制led,lcd以及蜂鸣器-Test block a upd48f0485 under control led, lcd and buzzer.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-10
    • 文件大小:1312
    • 提供者:
  1. PWM_music

    0下载:
  2. 在altera的FPGA平台上,使用verilog语言实现蜂鸣器的音乐,内含乐谱理论和verilog实现的FPGA奏乐代码与工程,已经测试通过,可以直接下载到FPGA运行,蜂鸣器播放音乐。-In the Altera FPGA platform, using Verilog language to achieve the buzzer music, FPGA music code and engineering including music theory and implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:675294
    • 提供者:汪少锋
  1. wannianli

    0下载:
  2. 贴片万年历c51源代码,功能挺全的。。大家测试。。。。。。。。。 原理清单: STC89C52 1个 30PF电容 2个 10K电阻 3个 1K电阻 1个 10UF电容 2个 10K排阻 1个 LCD1602 1个 10K电位器 1个 DIP40插座 1个 清楚按键 5个 DS1302 1个 DS18B20 1个 纽扣电池及座子 1个 有源蜂鸣器 1个 8550三极管 1个 12M晶振 1个-teipianwannianli c
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:169385
    • 提供者:陈秋忠
  1. fengimngqi

    0下载:
  2. 基于51单片机的简单c语言小程序,用于蜂鸣器的测试等-Based on 51 single simple small c language program for testing the buzzer
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-02
    • 文件大小:677619
    • 提供者:Rain
  1. wvm_pwm

    0下载:
  2. 软件:linux的PWM蜂鸣器驱动,内核3.4.91 硬件:mini2440 驱动简单易懂,注释完善,测试通过。- Software: linux the PWM buzzer driver, kernel 3.4.91 Hardware: mini2440 Driver straightforward, notes perfect test.
  3. 所属分类:Driver develop

    • 发布日期:2017-04-13
    • 文件大小:2128
    • 提供者:张进
  1. beep

    0下载:
  2. 测试通过的嵌入式ARM9蜂鸣器调试程序代码的例子-Embedsky beep
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:720
    • 提供者:ndjrkadth
  1. beep

    0下载:
  2. 测试通过的嵌入式ARM9蜂鸣器调试程序代码的例子-Embedsky beep
  3. 所属分类:Graph program

    • 发布日期:2017-04-12
    • 文件大小:814
    • 提供者:stoateebnrhtca
« 1 2 3 4 5 6 7 89 10 »
搜珍网 www.dssz.com