CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 计时器

搜索资源列表

  1. xdt

    1下载:
  2. c# 多线程计时器动态显示8通道心电图波形-c# multi-threaded timer dynamic display 8-channel ECG waveform
  3. 所属分类:hospital software system

    • 发布日期:2015-09-26
    • 文件大小:126976
    • 提供者:许强
  1. Timer

    0下载:
  2. 用VC6.0做的简单计时器,以秒为单位。-Simple timer, to do with VC6.0 seconds.
  3. 所属分类:Other systems

    • 发布日期:2017-11-25
    • 文件大小:1105059
    • 提供者:LiuHao
  1. STC-microcontroller-produced--

    0下载:
  2. 用STC系列单片机制作四位可预置计时器,比较不错的实例制作-STC series microcontroller produced four preset timer, good examples produced
  3. 所属分类:SCM

    • 发布日期:2017-11-03
    • 文件大小:187023
    • 提供者:qijun
  1. etecc

    0下载:
  2. ETCEE-101单片机开发板 完成字幕滚动 加法 推箱子 计时器等功能-Subtitles ETCEE-101 microcontroller development board to complete rolling addition Sokoban timer functions
  3. 所属分类:SCM

    • 发布日期:2017-11-08
    • 文件大小:104980
    • 提供者:
  1. clock_timer

    0下载:
  2. 时钟,计时器,23小时59分59秒的时钟,可自动进位计时,Verilog编写-Clock, timer, 23 hours, 59 minutes, 59 seconds of clock, automatic binary timing, Verilog prepared
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-08
    • 文件大小:57495
    • 提供者:duan
  1. jishhiqi

    0下载:
  2. 基于51单片机的辩论赛计时器制作!人性化设计,七个计时模式,测试可用。-Production based on 51 microcontroller debate tournament timer! The user-friendly design, seven timing mode, the test can be used.
  3. 所属分类:Software Testing

    • 发布日期:2017-12-09
    • 文件大小:1476
    • 提供者:李宁
  1. jishiqi

    0下载:
  2. 对单片机开发板写入程序并且通过烧入软件把程序烧入到单片机中,实现单片机的计时器功能-Writer and microcontroller development board through burned into the software to burn the program into the microcontroller, microcontroller timer function
  3. 所属分类:SCM

    • 发布日期:2017-11-16
    • 文件大小:14044
    • 提供者:acmwo
  1. time

    0下载:
  2. 在运行时不可见并按设定的时间间隔引发计时器事件的控件。 说明通知函数和环境属性-At run time is not visible at set time intervals to cause the control of the timer event. Descr iption notification function and environmental attributes
  3. 所属分类:GUI Develop

    • 发布日期:
    • 文件大小:19265
    • 提供者:张昱
  1. Timer_Buzzer

    0下载:
  2. MB95F560 MCU Start Kit Sample Code 计时器蜂鸣器 测试例程- MB95F560 MCU Start Kit Sample Code Timer_Buzzer
  3. 所属分类:SCM

    • 发布日期:2017-11-27
    • 文件大小:1264
    • 提供者:吴生
  1. lanqiubisaijishi

    0下载:
  2. 24s篮球比赛计时器设计方案,经过验证可行。性能好,望大家采纳,学习-24s basketball game the timer design programs, proven viable. Performance, we look to the adoption of learning
  3. 所属分类:SCM

    • 发布日期:2017-11-13
    • 文件大小:1071809
    • 提供者:jack
  1. hjmcu

    0下载:
  2. c语言编写计时器,适合单片机初学者使用哦-c language timer for microcontroller beginners.
  3. 所属分类:SCM

    • 发布日期:2017-11-17
    • 文件大小:1078
    • 提供者:海飞丝
  1. CLKGDF

    0下载:
  2. 实现一个以集计时器,闹钟,整点报时等功能为一体的电子钟。-To achieve a set timer, alarm clock, the whole point timekeeping function as one of the electronic clock.
  3. 所属分类:Other systems

    • 发布日期:2017-11-19
    • 文件大小:182990
    • 提供者:王小飞
  1. eclock

    0下载:
  2. 使用vhdl语言实现一个集计时器,闹钟,整点报时为一体的电子钟-Electronic clock VHDL language as one of a set timer, alarm clock, the whole point timekeeping
  3. 所属分类:Other systems

    • 发布日期:2017-11-30
    • 文件大小:965060
    • 提供者:王小飞
  1. TimerInvoke

    0下载:
  2. VC++ 源码编译实现的计时器小程序,比较简易的计时器,比秒要再快一些,不过这个时间频率是可以调的,如图所示,点击开始计时功能,计时器就开始工作了,可看到数字在不停的增大。-The timer applet VC++ compile source code to achieve relatively simple timer, again faster than the second, but this time frequency can be adjusted, as shown, clic
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-05
    • 文件大小:19996
    • 提供者:汪高峰
  1. EDA-Clock

    0下载:
  2. 基本功能: 1、输入1KHZ的时钟; 2、能显示时、分、秒,24小时制; 3、时和分有校正功能; 4、当计时器运行到59分49秒开始报时,每鸣叫1s就停叫1s,共鸣叫6响;前5响为低音,频率为500HZ;最后一响为高音,频率为1KHZ; 5、可设定夜间某个时段不报时; 6、设定闹钟。 -Basic functions: input 1kHz clock 2, display hours, minutes, seconds, 24-hour clock 3, hou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:379879
    • 提供者:李伟
  1. TimerTest

    0下载:
  2. 基于ACE通信中间件的计时器编程简单例程,比ACE自带的例子更简单易懂,并且可以直接嵌入程序使用-Based on the the ACE communication middleware timer programming simple routine, more than ACE comes with the example of a simple and easy to understand, and can be directly embedded program
  3. 所属分类:MiddleWare

    • 发布日期:2017-12-03
    • 文件大小:242975
    • 提供者:raojie
  1. jishi3

    0下载:
  2. 计时器源代码,功能单一,用c语言编写,仅供参考-Timer source code, single function, using the c language, for reference only.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-12-05
    • 文件大小:3435
    • 提供者:CHEN
  1. bascketball-clock

    0下载:
  2. 篮球计时器在proteus下的仿真电路图。实现 计时计分功能-Basketball timer under the proteus simulation circuit diagram
  3. 所属分类:SCM

    • 发布日期:2017-12-03
    • 文件大小:86930
    • 提供者:尚文静
  1. timer

    0下载:
  2. 未进行仿真,实现计时器功能通过串行口读取设备工作时间数据。-Simulation timer function to read the device working time data through the serial port.
  3. 所属分类:Other systems

    • 发布日期:2017-12-10
    • 文件大小:17981
    • 提供者:dingo
  1. netinfoandflux

    0下载:
  2. C#网络发送与接收统计程序,本程序相关的技术要领:计时器制作、获取网卡、判断网卡是否在在、刷新网络流量、获取屏幕高度和宽度、获取当前鼠标的屏幕坐标、设置当前窗体在屏幕上的位置、重载当前鼠标的位置、判断星期几-C# network to send and receive statistical procedures, the procedures related to the technical essentials: timer production, access card, to deter
  3. 所属分类:Windows Kernel

    • 发布日期:2017-11-17
    • 文件大小:12766
    • 提供者:胡芸
« 1 2 ... 43 44 45 46 47 4849 50 »
搜珍网 www.dssz.com