CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 读写 sram

搜索资源列表

  1. 51汇编程序1ASM

    0下载:
  2. 本程序用于测试实时时钟模块SD2000的SRAM存储器D/E系列, 程序功能如下: 1. 关闭/INT1及/INT2的中断输出 2. 初始化时间(写时间数据) 3. 在BREAKPOINT1设断点时,依次读时间-写SRAM数据-读SRAM数据循环 4. 全速执行时,LED四位分别显示小时和分钟的值-procedures used to test the real-time clock module SD2000 SRAM memory D / E Series, procedures follo
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:4715
    • 提供者:小顽童
  1. videodigitalsignalscontroller

    0下载:
  2. 用fpga技术实现基本的视频信号处理:主题程序;视频图象数据采集程序;sram的读写控制;测试程序-they simply use the basic technology of video signal processing : theme; Video data acquisition procedures; SRAM literacy control; test procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8782
    • 提供者:yan
  1. C8051_SRAM_rw_test

    0下载:
  2. 摘 要:本文件是C8051单片机外部SRAM读写测试程序 使用串口观测测试结果,使用外部22.1184MHz晶振.-Abstract : This document is C8051 MCU external SRAM literacy test procedures using serial observation test results use of external 22.1184 MHz oscillator.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:77337
    • 提供者:Lance Yin
  1. SRAM_module

    1下载:
  2. 用凌阳单片机061并行扩展SARM HM628128的小程序。实现了对SRAM的读写操作。-with Sunplus SCM 061 SARM HM628128 parallel expansion of small procedures. Implementation of the write operation SRAM.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:130820
    • 提供者:lily
  1. tom08

    0下载:
  2. SRAM 视频采集测试程序 读写时序控制 为解决时钟切换而做的测试程序-SRAM test sequential read and write control procedures to resolve the clock switching out of the test procedure
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:149295
    • 提供者:刘留
  1. 20

    0下载:
  2. SPCE061 2K SRAM 的读写。A口低8位控制LED,当读写错误时,点亮LED,打开方式请用凌阳自带的编译器
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:7086
    • 提供者:wujian
  1. DS1220

    1下载:
  2. 这是一个有关于SRAM(DS1220)的读写程序,是采用C语言编写的,里面还有DS1220的PDF文件,只要掌握了这个程序就可以编写任意其它型号的SRAM程序了,希望对大家有所帮助
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:195633
    • 提供者:fanweijianfwj
  1. MSP430_Exter_Sram

    1下载:
  2. MSP430 F149对外部SRAM(EG:62256)的操作,可以正常读写,验证通过
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-16
    • 文件大小:1068
    • 提供者:齐全
  1. videocap

    0下载:
  2. 视频采集控制缓存SRAM读写,对做视频采集有很好的参考。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:8421
    • 提供者:刘留
  1. altera_avalon_cy7c1380_ssram

    0下载:
  2. 关于altera的SRAM的读写控制IP代码,有兴趣的朋友可以下去
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:7200
    • 提供者:liufanyu
  1. SRAM

    0下载:
  2. STM32F103读写外部SRAM的程序,适用于神舟三号开发板-STM32F103 external SRAM read and write procedures for Shenzhou III development board
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-11
    • 文件大小:2369444
    • 提供者:马晓杰
  1. SRAM

    0下载:
  2. DE2-35 SRAM简单读写VHDL源码,可以通过开发板上拨动开关输入数据,在LED上显示读写情况-DE2-35 SRAM to read and write simple VHDL source code, can input data through the development board to toggle switch, display to read and write in LED.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:223791
    • 提供者:ft
  1. sram

    0下载:
  2. FPGA控制SRAM读写时序源码,代码桂发,新手一看就懂-FPGA control SRAM write timing source code Guifa novice understand at a glance
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:61476
    • 提供者:lishh
  1. Ram_test

    0下载:
  2. SRAM IS61LV64读写 经检验ok下载板子成功读写-SRAM IS61LV64 reader board successfully been tested ok download reader
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:640
    • 提供者:
  1. SRAM

    0下载:
  2. SRAM的读写控制模块,通过了综合和仿真,对于初学者很好用。-SRAM read and write control module, through the synthesis and simulation, very good for beginners to use.
  3. 所属分类:software engineering

    • 发布日期:2017-04-28
    • 文件大小:18825
    • 提供者:lishida
  1. SRAM

    0下载:
  2. 用memory compiler 生成的 512*8的SRAM,经过测试,可用进行读写-With SRAM memory compiler to generate 512* 8, tested, can read and write
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6062
    • 提供者:李中星
  1. SRAM-IS61LV25616-taobao

    0下载:
  2. SRAM-IS61LV25616,进行SRAM读写操作,淘宝上买的-SRAM-IS61LV25616, SRAM read and write operations performed on Taobao bought
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5228402
    • 提供者:吴昊
  1. SRAM

    1下载:
  2. 这个是一个SRAM读写操作的程序,能够帮助初学者有效的理解SRAM的读写(This is a SRAM read and write procedures, and can help beginners effectively understand the SRAM read and write)
  3. 所属分类:串口编程

    • 发布日期:2017-12-19
    • 文件大小:4096
    • 提供者:warribao
  1. sp6ex15

    0下载:
  2. SRAM读写测试,每秒进行一次单字节SRAM读写,使用chipscope观察时序波形(SRAM read and write test, a single byte SRAM read and write every second, using chipscope to observe the timing waveform)
  3. 所属分类:VHDL/FPGA/Verilog

  1. lab18-DMA_SRAM

    0下载:
  2. TMS320F28335的XINTF模块外扩SRAM进行读写,通过DMA传输给片内存储区(TMS320F28335 the XINTF module external expansion SRAM read and write, through the DMA transfer to the on-chip memory)
  3. 所属分类:DSP编程

« 1 2 3 45 6 7 8 »
搜珍网 www.dssz.com