CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 调制 vhdl

搜索资源列表

  1. FSKPSK

    0下载:
  2. FSK和PSK调制与解调的VHDL源程序.是在QUARTUS2环境下开发的.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:302068
    • 提供者:6822
  1. PSK_vhdl

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行PSK调制
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:75389
    • 提供者:wavy
  1. MFSK_VHDL

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行4FSK调制
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:63590
    • 提供者:wavy
  1. MASK_VHDL

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行4ASK调制
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:39730
    • 提供者:wavy
  1. MFSK

    0下载:
  2. 基于VHDL硬件描述语言,完成对基带信号的MFSK调制,源码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1013
    • 提供者:zhangjia
  1. 11

    0下载:
  2. 信道编码的实质是在信息码中增加一定数量的多余码元(称为监督码元),使它们满足一定的约束关系,这样,由信息码元和监督码元共同组成一个由信道传输的码字。一旦传输过程中发生错误,则信息码元和监督码元间的约束关系被破坏。在接收端按照既定的规则校验这种约束关系,从而达到发现和纠正错误的目的。它在数字通信方面得到了广泛的应用。本文将简要介绍信道编码原理及其应用,并详细介绍本次设计所用到的(7,4)汉明码的编码译码原理、DPSK调制解调的原理并在MAXPLUS II上用VHDL语言实现。
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:478528
    • 提供者:赵冉
  1. lunwen

    0下载:
  2. 本文论述了在整个无线收发系统中用软件的方法实现信道编译码系统的功能。实现了一种基于FPGA的信道编译码方法,并给出了VHDL语言的实现方法及仿真波形。信道编译码系统包括发射端的信道编码和接收端的信道译码两大部分。信道编码部分包括汉明编码、基带信号调制本次设计采用DPSK调制方式和并串转换连接模块。译码部分包括汉明译码、DPSK解调和链接模块。本系统的实现过程是:先通过软件编程实现各部分的功能模块,然后编程连接各模块,系统编译仿真通过以后载入FPGA(现场可编程门阵列) 芯片,验证结果。实验表明,
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:416597
    • 提供者:赵冉
  1. fpga

    1下载:
  2. fpga很有价值的27实例,包括步进电机定位控制系统VHDL程序与仿真、ASK调制与解调VHDL程序及仿真、TLC7524接口电路程序等非常实用。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1279682
    • 提供者:秦华
  1. 8.13_MFSK_VHDL

    0下载:
  2. mfsk调制方式的VHDL的实现 程序简洁 而且附有仿真波形
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:63545
    • 提供者:铁松
  1. QPSK

    1下载:
  2. 用VHDL语言实现QPSK调制功能和解调功能,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:299022
    • 提供者:fangyingjie
  1. MFSK_VHDL

    0下载:
  2. 多进制数字频率调制(MFSK)系统VHDL程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:932
    • 提供者:zhang
  1. PWM

    0下载:
  2. 脉冲宽度调制,VHDL代码编写,包括QUARTUSII和MODELSIM工程以及testbench
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:348573
    • 提供者:horse
  1. am.rar

    0下载:
  2. 基于matalab simulink中dspbuider实现am调制,将mdl文件转化为vhdl文件,在quartus2里面进行下载验证,Matalab simulink based on the realization of dspbuider modulation am to mdl file into vhdl file, download it in quartus2 authentication
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:1769615
    • 提供者:沈友俊
  1. fpdpsk

    0下载:
  2. FSK/PSK信号调制器的VHDL程序,共分为分频器、m序列产生器、跳变检测、2:1数据选择器、正弦波信号产生器和DAC(数、模变换器)6部分-FSK/PSK signal modulator VHDL program is divided into divider, m sequence generator, transition detection, 2:1 data selector, the sine wave signal generator and DAC (number, mode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1618
    • 提供者:hucy
  1. FPGAVHDLd

    0下载:
  2. 多功能波形发生器VHDL程序与仿真 URAT VHDL程序与仿真 ASK调制与解调VHDL程序及仿真 LCD控制VHDL程序与仿真-Multi-function waveform generator and simulation of VHDL procedures URAT VHDL simulation procedures and ASK modulation and demodulation procedures and VHDL simulation program LC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:227223
    • 提供者:邵捷
  1. PN7

    0下载:
  2. vhdl语言实现 pn码发生器 dpsk调制 以及扩频器-pn code generator vhdl language modulation and spread spectrum devices dpsk
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1073
    • 提供者:kid
  1. MFSK

    0下载:
  2. 多进制数字频率调制(MFSK)系统VHDL程序-Multi-band digital frequency modulation (MFSK) system VHDL procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:852
    • 提供者:hong
  1. elecfans.comMPSK

    0下载:
  2. 用VHDL实现的基带信号进行MPSK调制 及串并转换-Achieved using VHDL baseband MPSK signal modulation and SERDES
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2368
    • 提供者:王黎波
  1. MFSK_VHDL

    0下载:
  2. --文件名:PL_MASK --功能:基于VHDL硬件描述语言,对基带信号进行MASK调制 --说明:这里MASK中的M为4 -- File Name: PL_MASK- features: VHDL hardware descr iption language based on the base-band signal modulation MASK- Descr iption: Here MASK of M 4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:63586
    • 提供者:huangsong
  1. MPSK_VHDL

    0下载:
  2. --文件名:PL_MPSK --功能:基于VHDL硬件描述语言,对基带信号进行MPSK调制(这里M=4) -- File Name: PL_MPSK- features: VHDL hardware descr iption language based on the base-band signal MPSK modulation (here M = 4)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:80461
    • 提供者:huangsong
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 18 »
搜珍网 www.dssz.com