CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 调制 vhdl

搜索资源列表

  1. DQPSk

    0下载:
  2. 所属分类:Communication

    • 发布日期:2017-05-03
    • 文件大小:988063
    • 提供者:deng
  1. VHDLdanpianji

    0下载:
  2. 本文首先对MCS8051单片机的原理进行介绍和分析;接着介绍使用EDA技术,用VHDL语言完成了8051单片机的设计工作;MCS8051单片机的CPU和数模转换器的设计运用了算术逻辑单元ALU算术运算的算法实现和控制单元的状态机;以及数模转换器的∑-△调制方法的实现。通过如上的算法实现,可以看出VHDL语言在算法级的设计上具有很多的优势和特点。使用EDA技术设计的结果既可以用FPGA/CPLD来实施验证,也可以直接做成专用集成电路(ASIC)。-VHDL
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:255292
    • 提供者:li
  1. niguan

    0下载:
  2. fsk的调制与解调 fsk的调制与解调 vhdl实现-fsk modulation demodulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:51858
    • 提供者:niguan
  1. ask

    0下载:
  2. VHDL语言编写的调制过程,其中包含了采样量化和编码的全部源代码-VHDL used for modulation
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:1600
    • 提供者:李明
  1. lcnt8

    0下载:
  2. 这个是用VHDL实现的正负脉宽调制器,同样是对新手有帮助,高手不必看了。-This is achieved using VHDL positive and negative pulse width modulator, the same is to help novice, you do not have to read. Ha ha
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:173885
    • 提供者:张四全
  1. 8.15

    0下载:
  2. 用vhdl硬件语言实现数字调制系统基带码发生器-Vhdl language used hardware-based digital modulation system with code generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:45930
    • 提供者:张顺
  1. FSK

    0下载:
  2. 用matlab7.0软件对通信信号进行调制数字通信系统通信系统调制解调(PL_FSK)VHDL建模,包括发送和接受模块PL_FSK-good
  3. 所属分类:source in ebook

    • 发布日期:2017-04-04
    • 文件大小:187855
    • 提供者:胖子
  1. 61EDA_B408

    0下载:
  2. 基于VHDL硬件描述语言---对基带信号进行MPSK调制(这里M=4),即QPSK调制-asfgsfagsdgfhergew
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-13
    • 文件大小:2107
    • 提供者:撒旦撒
  1. 12

    0下载:
  2. FSK调制与解调系统的VHDL程序及仿真-FSK modulation and demodulation system and simulation of VHDL procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:51851
    • 提供者:jack wolf
  1. MASK

    0下载:
  2. MASK调制系统设计和VHDL程序及仿真-MASK modulation system design and simulation of VHDL procedures and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:39127
    • 提供者:jack wolf
  1. MFSK

    0下载:
  2. MFSK调制系统设计和VHDL程序及仿真-MFSK modulation system design and simulation of VHDL procedures and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:63310
    • 提供者:jack wolf
  1. MPSK

    0下载:
  2. MPSK调制与解调系统设计和VHDL程序与仿真-MPSK modulation and demodulation system design and simulation of VHDL procedures and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:80185
    • 提供者:jack wolf
  1. tiaozhi_CPLD

    0下载:
  2. 几种通信中的调制信号,基于VHDL语言的,有相关的波形仿真文件 ,希望对大家有所帮助-Several of the modulation signal communication, based on the VHDL language, the simulation waveform related documents, I hope all of you to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:279575
    • 提供者:李建兵
  1. FSKmodulation

    0下载:
  2. 利用硬件描述语言VHDL实现的数字信号FSK调制-A VHDL program to realize the FSK modulation of digital signals
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:779
    • 提供者:yuanzongliang
  1. FSKdemodulation

    0下载:
  2. 基于VHDL硬件描述语言对FSK调制信号进行解调 -A VHDL program to realize the FSK demodulation of digital signals
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:755
    • 提供者:yuanzongliang
  1. PSKmodulation

    0下载:
  2. 利用硬件描述语言VHDL实现的数字信号PSK调制-A VHDL program to realize the PSK modulation of digital signals
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:692
    • 提供者:yuanzongliang
  1. FSK

    0下载:
  2. FSK VHDL FSK调制与解调VHDL程序及仿真-FSK VHDL
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:681
    • 提供者:luowei
  1. PWMproducer

    0下载:
  2. 这文件包是利用VHDL语言编写的产生PWM脉宽调制的程序,包含的文件都很全,大家可以下载学习一下。-this is a pwm program by vhdl,which conclude all fils.you can download this file and study.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:432662
    • 提供者:杨葱头
  1. mpsk

    0下载:
  2. 数字通信多进制相移键控mpsk信号的调制与解调的VHDL代码。-Digital Communication-ary phase-shift keying mpsk signal modulation and demodulation of the VHDL code.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-23
    • 文件大小:1248
    • 提供者:lihao
  1. 4ask

    0下载:
  2. 数字通信系统4进制振幅键控4ASK信号的调制的VHDL代码-Digital Communication Systems 4 binary amplitude shift keying modulation 4ASK signal VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:543
    • 提供者:lihao
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 »
搜珍网 www.dssz.com