CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 转换 VHDL

搜索资源列表

  1. ad0820new

    0下载:
  2. AD0820小程序,能够实现AD转换的功能-OH
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:990909
    • 提供者:mars343
  1. binarytobcd

    0下载:
  2. 实现二进制到BCD的转换,相关算法可参考相关文档资料-convert binary number to BCD
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:3311
    • 提供者:CoCo
  1. STCApplication

    0下载:
  2. sTC系列单片机内部AD的应用 STC89LE52AD、54AD、58AD、516AD这几款89系列的STC单片机内部自带有8路8位的AD转换器,分布在P1口的8位上,当时钟在40MHz以下时,每17个机器周期可完成一次AD转换。 -STC series single-chip internal AD Application STC89LE52AD, 54AD, 58AD, 516AD that several 89 series of STC has its own single-ch
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-13
    • 文件大小:2369
    • 提供者:力伟
  1. TLC549

    0下载:
  2. TLC548和TLC549是以8位开关电容逐次逼近A/D转换器为基础而构造的CMOS A/D转换器。它们设 计成能通过3态数据输出和模拟输入与微处理器或外围设备串行接口。TLC548和TLC549仅用输入/输出时 钟(I/O CLOCK) 和芯片选择(CS) 输入作数据控制。TLC548的最高I/O CLOCK输入频率为2.048MHz, 而TLC549的I/O CLOCK输入频率最高可达1.1MHz。 有关与大多数通用微处理器接口的详细资料已由工厂 准备好,可供使用。-TLC5
  3. 所属分类:Project Manage

    • 发布日期:2017-03-28
    • 文件大小:711464
    • 提供者:ysy593
  1. VHDLprogram

    0下载:
  2. 含有各类寄存器,AD和DA转换器,各种算法,有限状态机,还些许组合逻辑电路设计代码-Containing various types of registers, AD and DA converters, a variety of algorithms, finite state machine, but also some combinational logic circuit design code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1807942
    • 提供者:zhouwenbin
  1. zidonghuandang

    0下载:
  2. 自动转换量程频率计控制器,能够在程序的驱动下自动进行量程的转换-Automatically converted to the frequency range of controller, the procedure can automatically driven by the conversion range
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:175339
    • 提供者:唐光敏
  1. readme_vhd

    0下载:
  2. VHDL串并转换源程序,可以实现信号在串行和并行间的转换。-SERDES VHDL source code, you can achieve signal at between serial and parallel conversion.
  3. 所属分类:MPI

    • 发布日期:2017-04-11
    • 文件大小:802
    • 提供者:kimli
  1. ADC0809VHDL

    0下载:
  2. 文件名:ADC0809.vhd功能:基于VHDL语言,实现对ADC0809简单控制说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟号,这里由FPGA的系统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。-File name: ADC0809.vhd features: Based on the VHDL language, easy to control implementation of the ADC0809 Descr ipt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1155
    • 提供者:王远东
  1. ADCDAC

    0下载:
  2. ADC,DAC转换接口~耐心讲述可以学会使用原理-ADC, DAC converter interface ~ patience can be learned about the use of the principle of
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-17
    • 文件大小:171075
    • 提供者:dengaoni
  1. yuv_rgb

    0下载:
  2. 完成ITUR656标准的视频流数据向RGB格式的转换。-Complete video streaming ITUR656 standard data format to RGB conversion. Test module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2016
    • 提供者:黄涛
  1. plj

    0下载:
  2. 这是一个基于可编程逻辑器件的程序,用来实现自动转换量程频率计控制器,该程序在可以再仿真器上仿真实现-This is a programmable logic device based on the procedures used to automatically convert the frequency range of the controller, the program can be in the simulation simulator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:175731
    • 提供者:jyb
  1. ADC0809

    0下载:
  2. 用状态机对A/D转换器0809的采样控制电路的实现。工具:Quartus ii 6.0 语言:VHDL-State machine used for A/D converter sampling control circuit 0809 is achieved. Tools: Quartus ii 6.0 Language: VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:46387
    • 提供者:杨晴飞
  1. liuVHDL

    0下载:
  2. 一种基于状态机设计的串并行转换电路,将LTC1196(ADC)的串行输出数据转换成并行数据的转换电路, ADC的时钟由转换电路提供,-Design a state machine based on parallel conversion circuit of the series will be LTC1196 (ADC) output of the serial data into parallel data conversion circuit, ADC clock provided by
  3. 所属分类:Com Port

    • 发布日期:2017-04-08
    • 文件大小:52715
    • 提供者:刘广清
  1. shukongzhiliudianyuan

    2下载:
  2. 介绍了一种闭环智能数控直流电流源的设计原理和实施方案,该方案采用自行设计制作的高精度电压源,利用单片机、PWM和运算放大器构成A/DD/A转换器来控制场效应管导通状态的原理,达到了输出恒流的目的。整个系统采用89C58单片机作为主控部件,将预置电流值数据送入D/A转换器,经硬件电路变换为恒定的直流输出,同时使用采样电阻将实际输出电流转换成电压送入A/D转换器,并将其反馈到单片机中构成闭环系统,进而实现预设值和实际值的比较,再通过调整D /A转换器输出的电压来改变场效应管的导通状态,减小了实际值与
  3. 所属分类:SCM

    • 发布日期:2015-07-08
    • 文件大小:2234
    • 提供者:zhendongzhao
  1. camera_up

    0下载:
  2. Camera Interface模块是视频输入转换存储模块。该模块一端接通用的video camera设备,另一端接AHB总线。实现了将Camera捕捉到的数据进行转换、并通过DMA存储到memory中。该IP支持ITU-R BT 601/656 8-bit 模式。支持YCbCr, RGB格式输入。可以将camera产生的YCbCr信号转换成24bit RGB 信号,然后下采样生成16bit RGB 5:6:5的LCD能直接读取显示的数据。该设备支持图像的镜像和翻转,以便适应手持式设备在移动环境
  3. 所属分类:Video Capture

    • 发布日期:2017-03-24
    • 文件大小:33011
    • 提供者:孙喆
  1. gencontrol

    0下载:
  2. 高速任意波形产生器控制模块 控制NCO,FIFO,并串转换-hign-speed wfgenerator control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:545
    • 提供者:ted yang
  1. xapp345_vhdl

    0下载:
  2. adc转换功能的vhdl源码,其中包含adc_interface 和转换还包含串口输出-adc tranfer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:36009
    • 提供者:jiangpeng
  1. uart_controler_0622

    0下载:
  2. 自己设计的串口数据格式转换模块,转换格式为8位——32位,用户可自行修改。-Design their own serial data format conversion module, the conversion format for 8- 32 spaces, users can modify their own.
  3. 所属分类:Com Port

    • 发布日期:2017-04-05
    • 文件大小:1872
    • 提供者:巴音
  1. twototen

    0下载:
  2. 十进制转换二进制并加减可以适用于温度调控-twototen
  3. 所属分类:SQL Server

    • 发布日期:2017-04-02
    • 文件大小:338601
    • 提供者:王力
  1. daima

    0下载:
  2. 状态机控制AD转换模块 该模块主要实现对MAX197的控制:根据设计需要对芯片进行初始化(包括写控制字选择输入电压值范围、选择通道以及工作模式),并把通道数送指示灯显示以及用键盘控制通道号(按一下,通道号加1,同时点亮相应的指示灯,循环使用个通道);控制状态机的工作时序,并置两次采集到的数据为12位数据输出,并经过锁存进程来锁存数据,最后从锁存器中把输出数据-The state machine controls AD and changes the module this module ma
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2771
    • 提供者:万俟斌
« 1 2 3 4 56 7 8 9 10 ... 24 »
搜珍网 www.dssz.com