CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 锯齿波

搜索资源列表

  1. buoxingfasheng

    0下载:
  2. 使用 avr meg16单片机 制作的波形发生器 能产生三角波 锯齿波 方波 电压范围较宽 已经实验成功-Using avr meg16 Microcontroller triangle waveform generator to produce square-wave voltage of sawtooth wave has been successful experiments in a wide range of
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-26
    • 文件大小:103486
    • 提供者:葛然
  1. LCD(NEW)

    0下载:
  2. 能完成汉字、字符、图片汉字的移动显示和锯齿波和正弦波的滚动显示。-To complete the character, characters, images of Chinese characters display and sawtooth and sine wave movement of the scroll.
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2557
    • 提供者:张山
  1. fitter

    0下载:
  2. 三角波.锯齿波.方波 -三角波.锯齿波.方波三角波.锯齿波.方波三角波.锯齿波.方波
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-09
    • 文件大小:299275
    • 提供者:chenwei
  1. xinhaofashengqi

    0下载:
  2. 信号发生器,正弦波,可调占空比的方波,锯齿波-Signal Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:136211
    • 提供者:贾恒龙
  1. wavefsq

    0下载:
  2. 波形发生器,实现基本的波形,三角形,锯齿波,正弦波,通过简单的按钮来切换-Waveform generator, to achieve the basic wave, triangle, sawtooth, sine wave, a simple button to switch
  3. 所属分类:Compiler program

    • 发布日期:2017-04-03
    • 文件大小:17420
    • 提供者:joke
  1. 078207043

    0下载:
  2. 用C++显示锯齿波,可以玩调节频率幅度-C++
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-05-08
    • 文件大小:1954425
    • 提供者:youli
  1. VHDL1

    0下载:
  2. 学习用FPGA设计一个信号发生器,根据输入信号的选择输出递增、递减锯齿波、三角波、阶梯波和方波。-Learning to use FPGA to design a signal generator, the choice of output to the input signal increases, decreasing sawtooth, triangle, ladder wave and square wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:399303
    • 提供者:zhangyue
  1. BX

    0下载:
  2. 正弦信号、三角波、锯齿波三种信号波形;同时对于正弦信号波形要求能够产生步进-Sine signal, triangle wave, sawtooth waveforms of three the same time requirements for sinusoidal waveforms to produce step
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:991988
    • 提供者:zdan
  1. dac0832

    1下载:
  2. 用两个按键通过单片机控制DAC0832的输出,使OUT端可以输出0—5V的幅值,频率为1KHZ的锯齿波和三角波两种波形。通上电源后;按下INT1则输出三角波,在按下INT0输出锯齿波。-MCU control with two buttons through the DAC0832 output to OUT terminal can output 0-5V amplitude, frequency of the sawtooth wave and triangular wave 1KHZ tw
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:77819
    • 提供者:my name
  1. DADATransformationoutputsawtoothwave

    0下载:
  2. D/A转换 编制程序 利用0832芯片输出锯齿波-D/A conversion programming using 0832 chips output sawtooth
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:2871
    • 提供者:Jahgmn
  1. 18

    0下载:
  2. 汇编语言产生的查表法实现正弦波,锯齿波,方波,三角波发生器的程序-Assembly language generated by look-up table to achieve a sine wave, sawtooth wave, square wave, triangle wave generator program
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:861
    • 提供者:Tr
  1. wg

    0下载:
  2. 基于51单片机的简易波形发生器:内有三角波、方波、锯齿波、正弦波-simple wave generateor
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:1406
    • 提供者:厐仁治
  1. gen_mif_1000

    1下载:
  2. 产生1000点正弦波、三角波、锯齿波的ALTERA MIF文件的源程序,可改为任意点.-1,000 points generated sine wave, triangle wave, sawtooth wave of ALTERA MIF file source, can be changed at any point.
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:981
    • 提供者:王晓君
  1. c8051f120

    0下载:
  2. 是一个很好的C8051F120程序实例,主要有ADC12位&UART;ADC&UART;C8051F120UCOS2;DA锯齿波;DA正弦波;int0;IOTEST;pwm8;PWM16;Serial0程序;SPI;TIMER3。-Is a good example of C8051F120 procedures, mainly ADC12 bit & UART ADC & UART C8051F120UCOS2 DA sawtooth DA sine wave int0
  3. 所属分类:SCM

    • 发布日期:2016-01-26
    • 文件大小:21504
    • 提供者:duanjun
  1. DAC

    0下载:
  2. 利用msp430内部dac12,按键控制单片机输出可调电压,并能输出正弦波、三角波、锯齿波。并计算输出波形周期数目。还可利用按键键入电压值。-Using msp430 , key control MCU output adjustable voltage, and can output sine wave, triangle wave, sawtooth wave. calculate the number of output waveform cycle. Can also use butto
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:5625
    • 提供者:LiYang
  1. juchibo

    0下载:
  2. 基于51的锯齿波发生函数 内带Proteus仿真-Occurred on 51 of sawtooth function within the simulation with Proteus
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:31996
    • 提供者:e_liane
  1. vhdl

    0下载:
  2. 用vhdl实现的抢答器程序。正弦波,锯齿波,三角波发生器程序。基于pwm技术的数码流水灯程序。计数器程序。-Responder with vhdl implementation process. Sine wave, sawtooth wave, triangle wave generator program. Pwm technology based on digital light process flow. Counter program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5956
    • 提供者:杨雨
  1. DAC0832

    0下载:
  2. 利用DAC0832输出正弦波,方波和锯齿波等-0832 output sine wave, square wave, sawtooth wave
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:2722
    • 提供者:liang
  1. 0832signalgenerter

    0下载:
  2. 基于单片机波形发生器,dac0832,产生正弦波,锯齿波,三级啵(c语言)-Microcontroller-based waveform generator, dac0832, produce sine wave, sawtooth, 3 啵 (c language)
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1724
    • 提供者:yannantian
  1. qq

    0下载:
  2. 51单片机的一些程序有:锯齿波发生器2-DAC0832.c 脉冲宽度测电机转速.c 软件虚拟同步串行通信.c-Some procedure of 51 machine have:The teeth of a saw wave occurrence machine 2-the DAC0832. c pulse width measure electrical engineering to turn soon.The c software conjecture synchronous string
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:22646
    • 提供者:籼稻
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 33 »
搜珍网 www.dssz.com