CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 锯齿波

搜索资源列表

  1. Proteus-signal-productor

    0下载:
  2. 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器,其频率范围可从几个微赫到几十兆赫,除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。-Signal generator, also known as source or oscillator, in the field of production practices and technologies widely used.
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:474001
    • 提供者:
  1. Proteus-signal-productor

    0下载:
  2. 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器,其频率范围可从几个微赫到几十兆赫,除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。-Signal generator, also known as source or oscillator, in the field of production practices and technologies widely used.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:473976
    • 提供者:
  1. DAC

    0下载:
  2. 8051单片机结合DA芯片进行数模转换的程序代码,包括三角波、方波、锯齿波的输出-8051 chip with digital-analog converter DA program code, including the triangular wave, square wave, sawtooth wave output
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:158877
    • 提供者:duruiming
  1. xinhaofashengqi

    0下载:
  2. 信号发生器是一种能能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。信号发生器在电路实验和设备检测中具有十分广泛的用途。现在我们通过对信号发生器的原理以及构成设计一个能变换出锯齿波、正弦波、方波的简易发生器。我们通过对电路的分析,参数的确定选择出一种最适合本课题的方案。在达到课题要求的前提下保证最经济、最方便、最优化的设计策略。按照设计的方案选择具体的原件,焊接出具体的实物图,并在实验室对焊接好的实物图进行调试,观察效果并与课题要求的性能指标作对比。最后分析影响因素。-Sign
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:159105
    • 提供者:韦韦
  1. PWM_wave

    0下载:
  2. 基于MCS-96单片机的汇编程序,利用PWM输出锯齿波、三角波、正弦波等波形的代码-Based on MCS-96 microcontroller assembler, using PWM output sawtooth, triangle wave, sine wave code such as
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:709
    • 提供者:lyjdld
  1. eda

    0下载:
  2. 用vhdl语言编写信号发生器,实现不同频率,不同幅度的方波,锯齿波。-signal generator based on vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1449444
    • 提供者:feier
  1. FPGA-multi-purpose-function-signal

    0下载:
  2. 基于FPGA的多功能函数信号发生器:基于FPGA实现直接数字频率合成,该函数信号发生器可以实现正弦波、三角波、方波、锯齿波等多种波形输出,输出信号的频率和幅度可调,利用单片机完成整个电路的时序控制、数据处理和实时显示输出。-Based on FPGA multi-purpose function signal generator: based on FPGA realizing direct digital frequency synthesis, this function signal ge
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-28
    • 文件大小:1811
    • 提供者:张节
  1. Signal

    0下载:
  2. 产生单音正弦波,三角波,锯齿波的程序,频率可调,有界面操作-Generated tone sine wave, triangle wave, sawtooth procedures, frequency adjustable, with interface operation
  3. 所属分类:SCM

    • 发布日期:2017-05-12
    • 文件大小:2937796
    • 提供者:袁兴华
  1. response-of-various-excitation

    1下载:
  2. 计算各种激励下的响应,如单脉冲,方波,锯齿波等-Calculate the response of various excitation, such as single pulse, square wave, sawtooth, etc.
  3. 所属分类:Graph Drawing

    • 发布日期:2017-03-28
    • 文件大小:11015
    • 提供者:wangjin
  1. DA

    0下载:
  2. D/A转换是把数字量转换成模拟量的变换,从D/A输出的是模拟电压信号。产生锯齿波和三角波只需由A存放的数字量的增减来控制;要产生正弦波,较简单的手段是造一张正弦数字量表。取值范围为一个周期,采样点愈多,精度愈高些-D/A converter is to convert the analog digital transformation, from the D/A output is an analog voltage signal. Sawtooth and triangle wave gene
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:3521
    • 提供者:代彬
  1. jydpidchkfzh

    0下载:
  2. 单片机的程控幅值程序设计,包括了方波,正弦波,三角波,锯齿波,还有幅值调节-Amplitude programmable microcontroller programming, including a square wave, sine wave, triangle wave, sawtooth wave, as well as amplitude adjustment
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:278137
    • 提供者:arron
  1. VHDL-Waveform-source

    0下载:
  2. I/V转换波形输出,可生成三角波、锯齿波、方波、和正弦波等常用波形。-I/V converter output waveforms can be generated triangle wave, sawtooth wave, square wave, and other commonly used sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1627
    • 提供者:obu
  1. waveform_generator

    0下载:
  2. VHDL语言编写的波形发生器程序,可以产生方波、三角波、正弦波、锯齿波等波形-Waveform generator written in VHDL program that can generate a square wave, triangle wave, sine wave, sawtooth wave, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:617397
    • 提供者:ldong1989
  1. waveformsfasheng

    0下载:
  2. 单片机构成的发生器可产生锯齿波、三角波、正弦波等多种波形,可通过按钮设定所需要的波形频率,并在LED上显示频率、幅值电压-SCM constitutes a generator can produce sawtooth, triangle, sine and other waveforms required by the button to set the waveform frequency, and the LED display frequency and amplitude voltag
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:9148
    • 提供者:张玉坤
  1. wave

    0下载:
  2. 一个基于89c51单片机的简单波形产生器的程序,能够输出方波,梯形波,三角波,锯齿波和正弦波的波形,并可以按钮切换波形!-A 89c51 microcontroller based on the simple waveform generator procedure, can output a square wave, trapezoidal wave, triangle wave, sawtooth and sine waveforms, and waveform switching for
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1032
    • 提供者:waterfish88
  1. bofsq-(1)

    0下载:
  2. 波形发生器的设计 利用C51编程,可实现正弦波 方波 三角波 梯形波 锯齿波 C语言程序 简单易懂-Waveform Generator using C51 programming, can be realized sine wave square wave triangle wave trapezoidal sawtooth C language program easy to understand
  3. 所属分类:SCM

    • 发布日期:2017-04-23
    • 文件大小:180274
    • 提供者:haobt
  1. bx

    0下载:
  2. 用C51单片机进行编程,可实现4中波形的产生。方波 三角波 正弦波 锯齿波 欢迎下载 C编程 有注释-With a C51 microcontroller programming, the waveform can be realized in the production of 4. Sawtooth sine wave square wave triangle wave are welcome to download C Programming Notes
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:111122
    • 提供者:haobt
  1. FPGA-based-function-generator

    0下载:
  2. 本论文设计的任意波形发生器所要实现的基本功能: (1)输出波形的种类:正弦波、方波、三角波、锯齿波、脉冲波、手绘任意波形、任意公式波形。 (2)输出波形每一通道的频率、幅值、偏置都可以由用户调节,并且可以设置多个通道信号之间的相位差。 (3)编辑波形的方式有:设置参数、输入公式、手工绘制通信波特率的全部功能在PC机上实现。 -In this thesis, the arbitrary waveform generator to achieve the basic function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:589995
    • 提供者:loutao
  1. fpga_dds

    0下载:
  2. 基于dds的波形发生器,实现四种输出,分别是正弦波、矩形波、锯齿波、上升沿锯齿波。-Dds-based waveform generator, to achieve the four outputs, which are sine wave, square wave, sawtooth, sawtooth edge.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1738434
    • 提供者:李玮
  1. top

    0下载:
  2. FPGA实现dds,可调频,任意波形,键盘输入。三角正弦锯齿波都有-FPGA realizing, can change the frequency, any DDS waveform, the keyboard input. The triangle sine sawtooth wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2638
    • 提供者:YGG
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 33 »
搜珍网 www.dssz.com