CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 16位

搜索资源列表

  1. vhdl_cnt_16

    0下载:
  2. 可预置16位计数器 可预置16位计数器-vhdl_16bit_counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:972
    • 提供者:方先生
  1. smart-car

    0下载:
  2. 一个用飞思卡尔16位单片机做的智能车程序,在全国大学生智能车大赛中取得第二名的成绩。-A use Freescale' s 16-bit single-chip smart car to do the procedures at the National University Students made the smart car competition second grade.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-02
    • 文件大小:450219
    • 提供者:
  1. ADS1100

    1下载:
  2. ADS1100的实用代码,16位模数转换,可用于高精度的数据采集系统-ADS1100 practical code, 16-bit analog-to-digital conversion, can be used for high-precision data acquisition system
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2015-09-21
    • 文件大小:2602
    • 提供者:潘圣
  1. A16

    0下载:
  2. 演示实方式和保护方式切换(切换到16位代码段)-Demonstration of actual ways and means of protection switching (switch to 16-bit code segment)
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:1292
    • 提供者:雪影
  1. 14SPI

    0下载:
  2. c8051f系列单片机的SPI 并行/串行通信源程序 Cygnal出的一种混合信号系统级单片机。片内含CIP-51的CPU内核,它的指令系统与MCS-51完全兼容。其中的C8051F020单片机含有64kB片内Flash程序存储器,4352B的RAM、8个I/O端口共64根I/O口线、一个12位A/D转换器和一个8位A/D转换器以及一个双12位D/A转换器、2个比较器、5个16位通用定时器、5个捕捉/比较模块的可编程计数/定时器阵列、看门狗定时器、VDD监视器和温度传感器等部分。C805
  3. 所属分类:Com Port

    • 发布日期:2017-04-15
    • 文件大小:6390
    • 提供者:天下第三
  1. ZiMoTool

    0下载:
  2. 自己写的LCD提取字模工具,支持8位数据和16位数据。-Write your own extraction matrix LCD instrument to support the 8-bit data and 16-bit data.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-03
    • 文件大小:921289
    • 提供者:容江伟
  1. pwm16_pca

    0下载:
  2. 用PCA实现16位PWM,使用的是新华龙单片机C8051F001-PCA implementation using 16 PWM, using a new single-chip C8051F001 hualong
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1926
    • 提供者:张雪梅
  1. example900227

    0下载:
  2. 这是GPIB在16位系统下的程式,非常好-This is GPIB system at 16 under the program, very good
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-28
    • 文件大小:36946
    • 提供者:JobsLj
  1. adder

    0下载:
  2. 高达16位加法器的实现,工作环境在ISE,modesim,该例程较为详细!-Up to 16-bit adder implementation, the working environment at ISE, modesim, the more detailed routines!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:51080
    • 提供者:马高望
  1. counter16

    0下载:
  2. 该程序为16位计数器,并带有缓存的功能,运行环境为ISE,modesim。-The program for 16-bit counters, with a cache of features, operating environment for the ISE, modesim.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-25
    • 文件大小:65374
    • 提供者:马高望
  1. TheapplicationofSMSinthedesignofportableembeddedmu

    1下载:
  2. 介绍一种具有短信息无线通讯功能的低功耗嵌入式多参数监护仪,基于ARM7核的32位低功耗微处理器作为系统控制核心,采用源码公开的嵌入式操作系统IxC/OS—II,通过低功耗的16位单片机MSP430完成数据采集,可实现心电、血氧、血压、体温信号的实时监测。系统采用西门子MC35i无线传输模块,实现生理参数以SMS方式无线传输,具有轻便节能、安全稳定等优点-Introduce a short message wireless communication function of low-power e
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:225520
    • 提供者:不想了
  1. MSP430book1

    0下载:
  2. MSP430 FLASH型超低功耗16位单片机 胡大可-Ultra-low power MSP430 FLASH-based 16-bit single-chip microcomputer can be Hu _
  3. 所属分类:SCM

    • 发布日期:2017-05-18
    • 文件大小:4886630
    • 提供者:tony.woo
  1. lp16

    0下载:
  2. dsp5000,开发环境ccs2.0,纯汇编编码的低通16位iir滤波器-dsp5000, development environment ccs2.0, pure compilation encoded 16 IIR low-pass filter
  3. 所属分类:DSP program

    • 发布日期:2017-04-25
    • 文件大小:10902
    • 提供者:zhu
  1. MSP430F4794schematic

    0下载:
  2. MSP430F系列16位单片机电路参考原理图,PDF格式。-MSP430F series of 16-bit single-chip reference circuit schematic, PDF format.
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:162622
    • 提供者:徐钧
  1. 1_070410132203

    0下载:
  2. 设计一个非常简单的16位CPU,让你从头到尾了解设计过程-Design a very simple 16-bit CPU, so that you know the design process from start to finish
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-26
    • 文件大小:129786
    • 提供者: cao
  1. SoundCardScope

    0下载:
  2. 这是一个基于声卡进行数据采集并显示的软件。它实现了8位、16位,11025Hz、22050Hz、44100Hz的采样,并以动态的方式将采集得到的数据显示出来。该程序是一个学习声卡数据采集以及动态无闪烁虚拟示波器的好程序。-This is a sound card-based data acquisition and display software. It implements the 8-bit, 16-bit, 11025Hz, 22050Hz, 44100Hz sampling and d
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-17
    • 文件大小:69667
    • 提供者:ly
  1. hongshezhengfuwang

    0下载:
  2. 如果遇到MD5加密文件(一般都是这个),而又不知道密码的, 请用这组加密的数据7a57a5a743894a0e替换即可,那么密码就是:admin (这个是16位的,32位的是:21232f297a57a5a743894a0e4a801fc3) -If there is MD5 encrypted file (usually this), but do not know your password, please use this set of data encryption can
  3. 所属分类:Com Port

    • 发布日期:2017-05-14
    • 文件大小:3207691
    • 提供者:111111
  1. IPphone2.0

    0下载:
  2. 使用PCM采集数据G711A压缩格式 (8000HZ单声道16位格式录音每秒以8K完成数据,16000HZ单声道 16位格式录音每秒以11K完成数据,音质相当好),延时降低到最小 100-500MS以内,不会随时间增加而增加延时(如果是说话测试一直保持 200MS的延时,如果是用播放歌曲来测试,有自动校正延时功能,恢 复成200MS的延时,恢复过程中不会中断歌曲的播放,只是小小加快唱 歌的速度,听觉不会觉察出来),加入了过滤杂音功能.-ip phone rec
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:461435
    • 提供者:bruce
  1. MSP430

    1下载:
  2. MSP430 FLASH型超低功耗16位单片机_胡大可编写的很好的一本介绍msp430的一本教程,在网上很难找的哦!-Ultra-low power MSP430 FLASH-based 16-bit single-chip can be prepared Hu _ a good introduction of a one of msp430 tutorial, it is difficult to find online at the Oh!
  3. 所属分类:Other systems

    • 发布日期:2017-05-18
    • 文件大小:4886530
    • 提供者:zhaox
  1. 5_timer1

    0下载:
  2. ATmaga128单片机TIMER1(16位定时器)程序 通过设计寄存器的值可以实现准确的定时信号输出-ATmaga128 single chip TIMER1 (16-bit timer) register through the design process can achieve an accurate value of the timing signal output
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-29
    • 文件大小:19792
    • 提供者:chen
« 1 2 ... 43 44 45 46 47 4849 50 »
搜珍网 www.dssz.com