CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ADC

搜索资源列表

  1. 16位ADC通用程序库

    0下载:
  2. 16位ADC通用,msp430 ,挑着用吧(16 ADC general, MSP430, pick it up)
  3. 所属分类:C#编程

    • 发布日期:2018-04-20
    • 文件大小:30720
    • 提供者:奥巴牛
  1. STM32+定时器+ADC+DMA+双缓冲 实现数据采集

    0下载:
  2. STM32+定时器+ADC+DMA+双缓冲 实现数据采集(STM32+ timer +ADC+DMA+ double buffer to realize data acquisition)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-04-21
    • 文件大小:1656832
    • 提供者:追寻
  1. adc

    1下载:
  2. 在matlab实现10bit的ADC的作用,将模拟量量化成数字量,提供了一些ADC常见性能的函数,如DFT,SNDR,量化等(When matlab implements the role of 10bit's ADC, the analog quantities are quantized into digital quantities)
  3. 所属分类:书籍源码

    • 发布日期:2018-04-21
    • 文件大小:20480
    • 提供者:isaka
  1. 实验29:LCD-ADC-DMA

    2下载:
  2. 使用STM32F103 功能:TFT彩屏显示 ADC转换结果 ADC单通道连续转换(Use the STM32F103 function: TFT color display ADC conversion results ADC single channel continuous conversion)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-04-21
    • 文件大小:7173120
    • 提供者:wstbaby
  1. 8:STM32_内部ADC(PA0)

    0下载:
  2. STM32F10X 的ADC应用试验,对初学者有帮助(STM32F10X's ADC application test helps beginners)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-21
    • 文件大小:4769792
    • 提供者:lizhihong21
  1. ADC+DMA

    0下载:
  2. stm32f407 adc配合DMA案例程序(stm32f407 adc case program)
  3. 所属分类:微处理器开发

    • 发布日期:2018-04-21
    • 文件大小:2266112
    • 提供者:洪荒之力
  1. EFM32外设模块—ADC-V1.00

    0下载:
  2. 模拟数字转换器(Analog to Digital Converter,简称 ADC)是 EFM32 片上模拟外设之一, 分辨率为 12 位时最大采样速率可达 1M Samples/s。其具有以下特性: z 可编程分辨率(6/8/12-bit); z 可选输入通道包括 8 个外部输入引脚和 7 个内部输入信号; z 单次转换和扫描转换两种工作模式; z 可选择使用内部参考电压或外部参考电压; z 支持硬件过采样。(The ADC is a Successive Approximati
  3. 所属分类:单片机开发

    • 发布日期:2018-04-21
    • 文件大小:208896
    • 提供者:swibyn
  1. ADC转换串口发

    0下载:
  2. STM32先ADC处理过后然后再用串口转发(ADC processing is forwarded with serial port)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-22
    • 文件大小:4654080
    • 提供者:彤宝
  1. ADC

    0下载:
  2. stm32F103 adc采样程序,包括初始化和配置等(u16 ADC_Get_aveg(u8 ch,u8 n) { u32 ad_sum = 0; u8 i; for(i=0;i<n;i++) { ad_sum += Get_val(ch); delay_ms(5); } return (ad_sum / n))
  3. 所属分类:微处理器开发

    • 发布日期:2018-04-22
    • 文件大小:351232
    • 提供者:stevenli
  1. ADC.c

    0下载:
  2. Drivers for ATxMega32E5 ADC
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-04-22
    • 文件大小:1024
    • 提供者:RafaMXA
  1. ADC.txt

    0下载:
  2. Drivers .h AtxMega32E5 ADC
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-04-22
    • 文件大小:1024
    • 提供者:RafaMXA
  1. adc-msp430f5438a

    0下载:
  2. MSP430F5438A ADC采集,IAR工程,可直接使用(MSP430F5438A ADC SAMPLE)
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:262144
    • 提供者:TT-TT
  1. ADC

    0下载:
  2. ADC功能检测实现来完成用K60来实现模数转换(Implementation of ADC function detection)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-23
    • 文件大小:18206720
    • 提供者:来嘛来嘛
  1. stm32-ADC

    0下载:
  2. stm32f103C8T6, ADC单通道连续转换模式测内部温度传感器温度(stm32f103C8T6, ADC single-channel continuous conversion mode to measure the temperature of the internal temperature sensor)
  3. 所属分类:微处理器开发

    • 发布日期:2018-04-28
    • 文件大小:3072
    • 提供者:lanshui
  1. ADC

    0下载:
  2. 学习STM32内部ADC的使用,通过STM32内部ADC1读取通道1(PA1)上面的电压,在LCD模块上面显示ADC转换值以及换算成电压后的电压值。(Learn the use of ADC in STM32, read the voltage above the channel 1 (PA1) through STM32 internal ADC1, display ADC conversion value on LCD module, and convert the voltage valu
  3. 所属分类:单片机开发

    • 发布日期:2018-04-29
    • 文件大小:355328
    • 提供者:加菲猫527
  1. 2.LCD-adc

    0下载:
  2. STMF407 液晶显示adc采样值,液晶接口在程序说明中,经过测试,绝对可以使用(Liquid crystal display ADC sampling value, liquid crystal interface in program descr iption, after testing, can be used absolutely)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-29
    • 文件大小:4239360
    • 提供者:acid123
  1. 3-ADC

    0下载:
  2. 此程序使用单次转换模式,读取电位计VAR1(AN7)当前的电压值,ADC转换结束后,读取存放于ADC_DAT中的转换结果。另外,通过LED直观的显示其转换结果,即旋转电位计可观察到LED灯的变化。(This function initializes the microcontroller. It is assumed that the SFRs are in their reset state.)
  3. 所属分类:其他

    • 发布日期:2018-04-30
    • 文件大小:677888
    • 提供者:胖胖瓜
  1. ADC采集电压

    3下载:
  2. 通过ADC电压变化改变PWM波占空比的程序。(The program of changing the duty ratio of PWM wave through the change of ADC voltage.)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-30
    • 文件大小:2048
    • 提供者:lvhongkui
  1. ADC的核心指标(SINAD,ENOB等)

    0下载:
  2. ADC采样性能分析,核心指标等。及一些测试方法。(Analysis of ADC sampling performance)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:66560
    • 提供者:qeer
  1. 实验17 ADC实验

    0下载:
  2. STM32实现ADC,基于 stm32f103zet6(ASDFGHJKLXVBMNBGHVVJUKVHJH)
  3. 所属分类:通讯编程

    • 发布日期:2018-05-01
    • 文件大小:389120
    • 提供者:yimisiyang
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 50 »
搜珍网 www.dssz.com