CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - BEEP

搜索资源列表

  1. Beep

    0下载:
  2. 蜂鸣器发声程序,使用的蜂鸣器频率是2.4Khz-buzzer program,the frecwency of the buzzer is 2.4K HZ
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-04
    • 文件大小:9974
    • 提供者:jaon
  1. beep

    0下载:
  2. 51单片机上的,8月桂花香的 音乐源码,珍惜呀-51 microcontroller, 8 laurel flowers music source, treasure ah
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:1206
    • 提供者:renhai
  1. BeepCon_C

    0下载:
  2. ARM7上,实现蜂鸣器都操作。包括环境都搭建和GPIO都实现。-This is a way to control the beep on ARM7.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-17
    • 文件大小:89565
    • 提供者:Harry
  1. beep

    0下载:
  2. 一个简单的VHDL程序,蜂鸣器,欢迎使用-A simple VHDL program, buzzer, Welcome
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:321447
    • 提供者:林明扬
  1. beep

    0下载:
  2. 单一频率喇叭发声,实现对喇叭的控制,可调节发声频率-Single frequency horn sound, to achieve the speaker' s control, adjustable sound frequency
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:6011
    • 提供者:xiaozhiang
  1. beep-lap

    0下载:
  2. 嘀嘀嘀间断声光报警信号 实现声光同时触发-Didi tick intermittent sound and light alarm signal is triggered at the same time to achieve sound and light
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:7828
    • 提供者:xiaozhiang
  1. Beep

    0下载:
  2. verilog描述流水灯 很好用的资料,自己写过的-verilog descr iption of light water well data used, their written
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3546221
    • 提供者:guoxiaoli
  1. Beep1000

    0下载:
  2. Show to create a beep sound.-Show how to create a beep sound.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:4844
    • 提供者:mehdi_akram
  1. atmega128PCF8563T

    0下载:
  2. 实验名称:PCF8563简单实验 功能描述:利用PCF8563定时,蜂鸣器隔1秒鸣叫。 实验目的:熟悉TWI通信协议。 学会操作TWI器件PCF8563。 学习使用标志位。 实验说明:MCU--M128 内部8M晶振 自行修改蜂鸣器的时间参数可以改变鸣叫的频率。 -Experiment name: PCF8563 functional descr iption of a simple experiment: Using the PCF85
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:46245
    • 提供者:高兴
  1. beep

    0下载:
  2. S3C2410上的PWM驱动程序,详细解释每一条指令!结合S3C2410 datasheet-PWM Timer driver
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-02
    • 文件大小:2010
    • 提供者:李杰
  1. Display_Encoder-Remoto-REC80-Beep-V1.0-OK

    1下载:
  2. This a firmware for PIC18F4550 with routines for: T6963c LCD display 240x64 pixel Rotare encoder REC80 remote control decode and others-This is a firmware for PIC18F4550 with routines for: T6963c LCD display 240x64 pixel Rotare enco
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:251886
    • 提供者:Regiscruz
  1. BEEP

    0下载:
  2. 基于LPC2148的仿真实例 蜂鸣器的测试 仿真器是 jlink v8-LPC2148 based on the simulation test buzzer is jlink v8 emulator
  3. 所属分类:Compiler program

    • 发布日期:2017-03-26
    • 文件大小:44727
    • 提供者:wulong
  1. Example

    0下载:
  2. VHDL开发例程,包括LED、BEEP,LCD1602显示。-VHDL development of routine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:467192
    • 提供者:diy_dy
  1. PlatformBuilder

    0下载:
  2. 本文总结了Platform Builder如下常见编译错误及其解决方案: 1、Ram start overlaps rom binary 2、Failed to initialize from CECONFIG.H. 3、Bootloader 引导OS 到SDRAM 后无法执行,提示“Dabort exception!!!”(数据中止异常) 4、Could not find file E:\WINCE500\PBWorkspaces\study_catalog\RelDirsmd
  3. 所属分类:Windows CE

    • 发布日期:2017-03-29
    • 文件大小:126931
    • 提供者:彬彬有礼
  1. Key_LED

    0下载:
  2. 实验名称:IO综合实验 功能描述:检测按键输入并蜂鸣,不同键值鸣叫次数不同。LED显示键值。 实验目的:熟悉简单IO操作。 理解位操作的概念和操作方法。 熟练应用宏定义。 熟悉事件处理机制的编程方法。 实验说明:MCU--M128 内部8M晶振 硬件连接:插上SPKEN(JMP14)和LEDEN(JMP15)的跳线帽。-Experiment name: IO comprehensive experiment Function Descr ip
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:27373
    • 提供者:octopuszy
  1. BUZZ

    0下载:
  2. 检测按键输入并蜂鸣,不同键值鸣叫次数不同。LED显示键值-Detect keystrokes and beep, beep different times in different keys. LED display key
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:3170
    • 提供者:王为波
  1. UFW

    0下载:
  2. 基于对话框的MFC优盘杀毒程序,运行程序时.有优盘插入时检测u盘里的autorun.inf-for(char cbNum= D cbNum<= Z cbNum++) //遍历可能的U盘盘符 { strdir.Empty() strdir.Format(" c:",cbNum) if(GetDriveType((LPCTSTR)strdir)==DRIVE_REMOVABLE)// 可移动的磁盘 { //-------
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-31
    • 文件大小:27266
    • 提供者:韩宾
  1. 1_2

    0下载:
  2. 构建VI,每秒显示一个0到1之间的随机数。同时,计算并显示产生的最后四个随机数的平均值。只有产生4个数以后才显示平均值,否则显示0。每次随机数大于0.5时,使用Beep.vi产生蜂鸣声。-Building VI, second between 0 and 1 shows a random number. At the same time, calculate and display the random number generated by the average of the last fo
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:15937
    • 提供者:小李
  1. 2_1

    0下载:
  2. 创建子VI计算两个输入向量A和B内积。要求程序能够判断两个向量的元素个数是否相等,相等则计算内积,否则利用beep.vi报警并且弹出对话框提示。将VI计算结果和数学函数的计算结果做比较,仔细检查计算程序。-Calculated to create sub-VI A and B are two input vector inner product. Required procedures to determine the number of elements of two vectors are
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:8755
    • 提供者:小李
  1. 2_12VI

    0下载:
  2. 创建子VI计算两个输入向量A和B内积。要求程序能够判断两个向量的元素个数是否相等,相等则计算内积,否则利用beep.vi报警并且弹出对话框提示。将VI计算结果和数学函数的计算结果做比较,仔细检查计算程序。-Calculated to create sub-VI A and B are two input vector inner product. Required procedures to determine the number of elements of two vectors are
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:4190
    • 提供者:小李
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 29 »
搜珍网 www.dssz.com